Oxford Instruments OpAL System Manual
Oxford Instruments Opal is an Open Load Atomic Layer Deposition (ALD) system designed for research and development applications. It offers high-precision thin film control, a versatile chamber design, and a comprehensive manual for operation and maintenance. With its capabilities, you can explore a wide range of ALD processes for various materials and applications.
PDF
Download
Document
Advertisement
Advertisement
OpAL Open Load Atomic Layer Deposition System System Manual Works Order No.: 94-417919 Customer: Università di Pisa Typical OpAL system WARNING THIS SYSTEM INCORPORATES POTENTIALLY DANGEROUS COMPONENTS, WHICH CAN EXPOSE PERSONNEL TO HAZARDS RESULTING IN DEATH OR SERIOUS INJURY. BEFORE ATTEMPTING TO INSTALL, POWER UP OR OPERATE THIS SYSTEM, ENSURE YOU HAVE READ AND UNDERSTOOD THE ENCLOSED SYSTEM MANUAL, ESPECIALLY SECTION 1 (HEALTH AND SAFETY). Issue 1: July 2010 OpAL Open Load Atomic Layer Deposition System System Manual Works Order No.: 94-417919 Customer: Università di Pisa Volume 1: Operation and Maintenance Manual This manual, Volume 1, is one of a series for the machine as follows: Volume 1 Volume 2 Volume 3 Operation and Maintenance Manual System Drawings OEM Manuals Notes: 1. 2. Please regard this manual as part of the system. Ensure that any amendment received is incorporated in the text. Oxford Instruments Plasma Technology Yatton, Bristol, BS49 4AP Tel: +44 1934 837000 Fax: +44 1934 837001 Issue 1: July 2010 OpAL Oxford Instruments Plasma Technology System Manual Contents Title and inside front cover pages Contents List.................................................................................................................(iii) Preface..........................................................................................................................(iv) Customer Support Facilities...........................................................................................(iv) System Identification ......................................................................................................(v) Quality Control Forms ...................................................................................................(vi) Goods Return Form QCF 60 Certificate of Pre-Acceptance and Certificate of Acceptance QCF 61 System Readiness Form QCF 89 Declaration of Conformity QCF 185d ASM Patent Rights 1. Health and Safety .................................................................................................. 1-1 2. Services (OpAL) ..................................................................................................... 2-1 3. Description ........................................................................................................... 3-1 4. Installation............................................................................................................ 4-1 5. Operating Instructions ......................................................................................... 5-1 6. Maintenance ......................................................................................................... 6-1 7. Troubleshooting ................................................................................................... 7-1 8. Process Guide and Glossary................................................................................... 8-1 9. Uninstallation and disposal ................................................................................... 9-1 Appendix A Measurement of radio frequency and microwave emissions Appendix B Operation and maintenance of turbomolecular pumps Appendix IDS Installation Data Sheets (OpAL) Appendix PSP Precursor Source Pot Appendix R Rotameter data Appendix S Services Specifications for Plasmalab and Ionfab Systems OIPT Automatch Unit Equipment Manual Ozone Delivery System Equipment Manual Printed: 14 July 2010 08:52 Contents Page (iii) Issue 1: July 2010 OpAL Oxford Instruments Plasma Technology System Manual Preface This manual is the OPERATION and MAINTENANCE MANUAL. It provides all the information necessary for the operation and routine maintenance of the system. Certain components within the system are supplied by other manufacturers. These components have their separate manuals which are included, and should be referred to for detailed operation, maintenance and repair information. WARNING SERVICE BY QUALIFIED STAFF ONLY Refer to manual Where this label is displayed (black text on a yellow background), read the relevant manual before proceeding to operate or maintain the labelled equipment. Customer Support Facilities Oxford Instruments Plasma Technology has global Customer Support Facilities to provide a co-ordinated response to customer’s queries. All queries are recorded on our Support Database and dealt with as quickly as possible. If we are not able to answer the query immediately, we will contact you as soon as possible. Before contacting a Customer Support Facility, please ensure that you have referred to the appropriate section of your system manual, OEM manuals and electrical drawings. Please direct all queries through your nearest support facility (see below) and have the following details available. System Type, e.g. OpAL etc. Works Order No. – This can be found on the front cover of your system manual. Contact Information – Your name, Company and how we can contact you. Details of your query – nature of the problem, part numbers of spares required, etc. You can contact us via any of the following: China Taiwan Beijing Tel: +86 106518 8160 Email: [email protected] Shanghai Tel: +8621 6360 8530 Email: [email protected] Tel: +886 3 5788696 Email: [email protected] UK Germany Customer Support Hotline: +44(0) 1934 837070 (0800 – 2000 UK local time) Fax: +44(0) 1934 837071 Email: [email protected] Tel: +49 6122 937 161 Email: [email protected] USA Japan Tel: +81 3 5245 3591 Email: [email protected] Singapore Tel: +65 6337 6848 Email: [email protected] Printed: 14 July 2010 08:52 Oxford Instruments Inc. 300 Baker Avenue, Suite 150 Concord, MA 01742 Phone: +1 978-369-9933 Toll Free: +1 800-447-4717 Fax: +1 978-369-8287 Email: [email protected] Preface Page (iv) Issue 1: July 2010 OpAL Oxford Instruments Plasma Technology System Manual System Identification This system, Works Order No. 94-417919 for Customer: Università di Pisa, is identified by a label attached to the rear of the power box. An image of this label is shown below: NOTE: Refer to the label attached to the system power box for the measured maximum rated input current value. Quality Control forms The following Quality Control Forms (QCF) are attached: Goods Return Form QCF 60 – To be completed and attached to the outside of the package of any returned parts. Certificate of Pre-Acceptance and Certificate of Acceptance QCF 61 – To be completed and returned if the customer is absent from acceptance. System Readiness Form QCF 89 – To be completed and returned prior to the commissioning visit. Declaration of Conformity QCF 185d - CE Certificate. Printed: 14 July 2010 08:52 Preface Page (v) Issue 1: July 2010 QCF 60 Issue 5 15/06/07 Environmental Agency Producer Registration Number for WEEE: WEE/AE0116XU GOODS RETURN FORM • • • • • Returns No : This form must be completed and a copy sent to Oxford Plasma Technology Service Department by fax or mail before return of any goods to the factory. (Fax No : + 44 (0) 1934 837071) If return to the factory is approved a Returns Authorisation Number will be issued. This should be written in the box provided on this form and the completed form returned with the goods as part of the shipping documentation. It must be possible to read this form without opening the packaging containing the goods, therefore the form should not be enclosed within the packaging but affixed to the container. Once the Returns Authorisation Number is issued goods should be returned within one month. OIPT reserve the right to invoice the full value of parts if not returned. All sections below must be completed. If any section does not apply, mark that section "not applicable". If the information requested is not known, mark that section "not known". Any goods returned to the factory without a copy of this form carrying a Returns Authorisation Number will be considered hazardous and may be disposed of at the sender's expense. Mark the returns number on all packages and supporting shipping documentation. Equipment description. Serial number or identifying marking Original OIPT order No : Date of order : Reason for return of part/s. Description of fault/s. Chemical names of all materials which have come into contact with the goods. Precautions which must be taken when handling these materials. Nature of hazard(s) presented by contact with these materials. Action to be taken in the event of human contact or spillage of these materials. Details of any decontamination carried out prior to shipping Levels of residual substances left in or on the returned goods. . Name and address of person to be contacted in case of query. Tel No : Ext : Fax No : Declaration Please strike through the section a) or b) which does not apply and sign the declaration. a) I hereby confirm that the equipment detailed above has not come into contact with any hazardous substance and has been drained of any lubricant. b) I hereby confirm that the only hazardous materials to which the equipment detailed above has been exposed are listed above and that the following precautions have been taken. The equipment has been drained of any lubricant All ports have been sealed and the equipment has been securely packed and labelled in accordance with Oxford Instruments Plasma Technology recommendations (available on request) The carrier has been informed of the nature of the consignment. 1. 2. 3. Signed. . . . . . . . . . . . . . . . . . . . . . . . . . . . Date. . . . . . . . . . . . . . . . . . . . . . . . . . . . Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . Position. . . . . . . . . . . . . . . . . . . . . . . . . . QCF 61 Issue 8 Date: 15/06/07 Oxford Instruments Plasma Technology North End, Yatton Bristol, BS49 4AP England. Telephone : +44 (0) 1934 837000 Fax: +44 (0) 1934 837001 E mail: [email protected] Environmental Agency Registration Number for WEEE: WEE/AE0116XU Sheet 1/2 CERTIFICATE OF PRE-ACCEPTANCE This form is to be completed in accordance with the guidelines stated in QCF 61a. Customer Project number: Equipment serial number/s: Brief description of the system: The above equipment has been examined / tested in my presence, at Oxford Plasma Technology. The following list defines items that need to be actioned prior to final acceptance being given. Witnessed by: Oxford Instruments Plasma Technology representative Signature: Date: / /0 Accepted by: Representative of the end user. Signature: OIPT circulation: Service Manager Design Manager Date: / /0 QCF 61 Issue 8 Date: 15/06/07 Oxford Instruments Plasma Technology North End, Yatton Bristol, BS49 4AP England. Telephone : +44 (0) 1934 837000 Fax: +44 (0) 1934 837001 E mail: [email protected] Environmental Agency Registration Number for WEEE: WEE/AE0116XU Sheet 2/2 CERTIFICATE OF ACCEPTANCE Brief description of the system: Customer: Project number: Equipment serial number/s: Pre-acceptance form completed at OIPT ? Yes / No The equipment supplied has been examined and tested as an assembled system in my presence, and has given satisfactory results where shown. (Tick as appropriate) Operational Process I am satisfied with the results that this system has produced and I accept that the technical specifications have been achieved. Comments:- Accepted by: Representative of the end user. Signature: Date: / /0 Please ask our representative for a copy of our Customer feedback form, in order that you may record your opinions of the service given by Oxford Plasma Technology Ltd. Witnessed by: Oxford Instruments Plasma Technology representative Signature: OIPT circulation: Service Manager Design Manager Date: / /0 QCF89 issue 8 09/11/07 `` Environmental Agency Producers Registration Number for WEEE: WEE/AE0116XU SYSTEM READINESS FORM This form is provided in order for us to record the level of work that you have conducted, to support the installation of your new Oxford Instruments system. The system should be installed, within the parameters listed below and the form returned to your supporting Oxford Instruments office. Returning this form signifies that you have completed the installation of the system, in accordance with the Services Specifications (Appendix S in this System Manual) the Installation Data Document (Appendix IDS in this System Manual) and that the installation conforms with all of the requirements listed within these documents. Should this form be received, an engineer dispatched to start commissioning and any of the facilities requirements not be met, Oxford Instruments reserves the right to terminate that commissioning visit and charge the customer for a return visit, once the facilities have been fully completed. Company Name : Company Contact Name: System Serial Number : System Type: Please tick appropriate box 1. Has the system been sited ? Attach site plan if available. 2. Has the system been installed in a clean room ? 3. Are there any special requirements for gaining access into the clean room ? ie. clearance, training, citizenship etc.. If so please list below. 4. Have pumps been sited and the cables between each pump and system power box been connected? 5. Has the gas pod been sited, connected to the system and connected in to the appropriate gas delivery and exhaust extraction systems, in accordance with local safety regulations? 6. If the system has a heater chiller, has this been sited, connected to the lower electrode cooling circuit and filled with Hexid A40? 7. Has AC power been connected to the system? Measure the voltages between each phase and neutral. 8. Has compressed air been connected to the system? Inlet pressure must be 6 Bar (90PSI). Note Pressure. 9. Has cooling water been connected to the system? Differential pressure YES Page 9 of 13 NO Detail Phase 1 to Neutral Phase 2 to Neutral Phase 3 to Neutral Compressed Air Inlet Pressure Inlet Water Outlet Water QCF89 issue 8 09/11/07 `` Environmental Agency Producers Registration Number for WEEE: WEE/AE0116XU between inlet and outlet must be 3 - 4 Bar (45 – 60PSI). Water supply should be connected to each inlet/outlet on the system in parallel and not series. Note Inlet and Outlet Pressure. 10. Has N2 vent been connected to the system? Inlet must be able to maintain a pressure of 3 Bar (45psig). Note Pressure. 11. Has N2 purge been connected to the system? Inlet must be able to maintain a pressure of 3 Bar (45psig). Note Pressure. 12. If the system is configured with cryogenic cooling, is an LN2 Dewar, filled with LN2 available? Is the Dewar connected to the system via 3/8” Swagelock connections and is the pipe work adequately insulated to prevent a safety hazard? 13. Have all of the gas pod connections been made to the appropriate gas supply or system connection. Have they been leak checked? 14. All process gasses should be available for the system commissioning. Please verify that each gas, as it is laid out Name of Gas Pressure Pressure Vent Nitrogen Inlet Pressure Purge Nitrogen Inlet Pressure Is the pipe work adequately insulated to prevent a safety hazard? Inlet Pressure Page 10 of 13 Heated? Leak Rate Name of Gas Inlet Pressure Heated? Leak Rate QCF89 issue 8 09/11/07 Environmental Agency Producers Registration Number for WEEE: WEE/AE0116XU `` in the gas pod is connected, available for process and that the gas line has been leak checked. Gas 1 Gas 7 Please record the leak rate for each gas line. If there is no line fitted please enter N/A. Gas 2 Gas 8 Gas 3 Gas 9 Gas 4 Gas 10 Gas 5 Gas 11 Gas 6 Gas 12 Process gas inlet pressure should be set at 3 Bar (45psig). Minimum acceptable inlet pressure: 2 Bar (30psig). Maximum acceptable inlet pressure: 5 Bar (75psig). If the gas line is to be used for BCl3, SiCl4 or TEOS, has the gas line been wrapped with trace heater wire and insulated? Failure to do so could result in condensation of the gas, within the line during processing. Yes No 15. Have the rotary pump exhaust lines been connected to an exhaust extraction system that meets all local safety standards? 16. If the system is fitted with a cryo pump, which is used for toxic gasses, has an action plan been discussed and implemented for connection of the pump outlet connector? 17. Has the rotary pump purge been connected to the pumps and nitrogen supply? 18. Have the rotary pumps been filled with oil of the correct type for the pump in use? 19. Is the gas pod extraction connected with a minimum flow rate of 1m3/hour (6 line gas pod) or 3 m3/hour (12 line gas pod). Does the extraction system meet all local safety regulations? 20. Do you believe that that demonstration of process has been included in the purchase of your tool? Page 11 of 13 Detail QCF89 issue 8 09/11/07 Environmental Agency Producers Registration Number for WEEE: WEE/AE0116XU 20.a. Please state, which processes require to be demonstrated. 20.b. Are the required samples and measurement equipment available for the process work to follow on from hardware acceptance? Name : Signature: Position Within Company: Date: / /0 Preferred Date for Commissioning Commencement: / /0 Page 12 of 13 `` QCF89 issue 8 09/11/07 Environmental Agency Producers Registration Number for WEEE: WEE/AE0116XU `` Please return the completed form to your nearest Oxford Instruments Support Office, detailed below: USA & Canada Oxford Instruments America Inc DE, NE, B, SE, FI,DK Oxford Instruments GmbH Eastern Territories Oxford Instruments Pte. Ltd Plasma Technology Support Manager 300 Baker Avenue, Suite 150 Concord MA 01742 Tel: +1 800 447 4717 Fax: +1 978 369 8287 Email: mailto:[email protected] Plasma Technology Raymond Wong U.K. and all Other Territories Oxford Instruments Plasma Technology Global Support Manager Postfach 4509 65035 Wiesbaden Germany Tel: +49 6122 937161 Fax: +49 6122 937175 Email: [email protected] 371 Beach Road #02-07 Keypoint Singapore 199597 Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] North End Yatton, Nr Bristol BS49 4AP. U.K. Tel: +44 1934 837070 Fax: +44 1934 837071 Email: [email protected] Page 13 of 13 lé^i== lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó System Manual = ^pj=m~íÉåí=oáÖÜíë= = This product exploits technology that is licensed from ASM and is protected by patents. = A label is attached to the system base unit indicating that the ASM licence covers the system: Details of the specific patent numbers are listed in the following 13 pages. ASM Patent Rights Printed: 09 October 2007 11:14 Issue 1: July 2007 ASM Patents Licensed / Sub-Licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Issue Date 281 Status Assgnee g.002 KR Method for forming a metal film KR 1998/35911 01-Sep-1998 2000/18353 06-Apr-2000 332364 30-Mar-2002 Issued ASMG g.004 EP Method for forming a three component nitride film containing metal and silicon EP 1999/941865 10-Sep-1999 18-Oct-2000 19-Mar-2003 Published& Gr ASMG g.004 JP Method for forming a three component nitride film containing metal and silicon JP 1999/570818 10-Sep-1999 2002/525432 g.004 US Method for forming a three component nitride film containing metal and silicon US 09/554443 10-Sep-1999 g.004 WO Method for forming a three component nitride film containing metal and silicon WO PCT/KR98/4 10-Sep-1999 0016377 g.009 EP Method of Forming a Thin Film EP 2000/915582 06-Apr-2000 1092233 g.009 JP Method of Forming a Thin Film JP 2000/612991 g.009 KR Method of Forming a Thin Film g.009 US g.009 1044288 1044288 Published ASMG Issued ASMG 23-Mar-2003 Published ASMG 18-Apr-2001 Published ASMG 06-Apr-2000 2003/521579 15-Jul-2003 Published ASMG KR 1999/11877 06-Apr-1999 2000/49298 05-Aug-2000 273473 15-Nov-2000 Issued ASMG Method of Forming a Thin Film US 09/719103 06-Apr-2000 6645574 11-Nov-2003 Issued ASMG WO Method of Forming a Thin Film WO PCT/KR00/0 06-Apr-2000 0063957 26-Oct-2000 Published ASMG g.010 EP Chemical Deposition Reactor and Method of Forming a Thin Film using the Same EP 2000/940951 19-Jun-2000 1125321 22-Aug-2001 Published ASMG g.010 JP Chemical Deposition Reactor and Method of Forming a Thin Film using the Same JP 2000/505047 19-Jun-2000 2003/502501 21-Jan-2003 Published ASMG g.010 KR Chemical Deposition Reactor and Method of Forming a Thin Film using the Same KR 1999/23078 19-Jun-2000 2001/1007431 26-Jan-2001 Published ASMG g.010 US Chemical Deposition Reactor and Method of Forming a Thin Film using the Same US 09/763238 19-Jun-2000 g.010 WO Chemical Deposition Reactor and Method of Forming a Thin Film using the Same WO PCT/KR00/0 19-Jun-2000 0079576 28-Dec-2000 Published ASMG g.015 EP Thin Film Forming Method EP 2001/937028 08-Jun-2000 1292970 19-Mar-2003 Published ASMG October 14, 2005 Restricted Distribution Page 1 of 13 13-Aug-2002 6426117 6539891 30-Jul-2002 01-Apr-2003 Issued ASMG SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo g.015 JP Thin Film Forming Method JP 2001/000503 08-Jun-2001 2003/536272 02-Dec-2003 3687651 g.015 US Thin Film Forming Method US 2003/000297 15-Jul-2003 2004/0009307 g.015 WO Thin Film Forming Method WO PCT/KR01/0 08-Jun-2001 g.017 EP Plasma Enhanced ALD equipment and Method of forming a Conducting Thin Film EP 2001/000957 06-Aug-2001 g.017 JP Plasma Enhanced ALD equipment and Method of forming a Conducting Thin Film JP g.017 KR Plasma Enhanced ALD equipment and Method of forming a Conducting Thin Film g.017 US Plasma Enhanced ALD equipment and Method of forming a Conducting Thin Film g.017 WO g.018 Issue Date Status Assgnee 17-Jun-2005 Published ASMG 15-Jan-2004 Published ASMG 0199166 27-Dec-2001 Published ASMG 1421606 26-May-2004 Published ASMG 06-Aug-2001 2005/502784 27-Jan-2005 Published ASMG KR 2001/46802 02-Aug-2001 11-Feb-2003 Published ASMG US 10/486311 06-Aug-2001 2004/0231799 25-Nov-2004 Published ASMG Plasma Enhanced ALD equipment and WO PCT/KR01/0 Method of forming a Conducting Thin Film 06-Aug-2001 20-Mar-2003 Published ASMG KR Apparatus for Depositing KR 2001/69598 08-Nov-2001 2003/0038168 16-May-2003 Published ASMG g.018 US Apparatus for Depositing US 10/495156 08-Nov-2002 2005/0034664 17-Feb-2005 Published ASMG g.018 WO Apparatus for Depositing WO PCT/KR02/0 08-Nov-2002 15-May-2003 Published ASMG g.019 AUS Method for Forming Thin Film US 10/495157 08-Nov-2002 2005/0037154 17-Feb-2005 Published ASMG g.019 EP Method for Forming Thin Film EP 2002/000788 08-Nov-2002 08-Sep-2004 Published ASMG g.019 JP Method for Forming Thin Film JP 2003/000543 08-Nov-2002 2005/509093 07-Apr-2005 Published ASMG g.019 KR Method for Forming Thin Film KR 2001/69597 08-Nov-2001 3038167 16-May-2003 Published ASMG g.019 WO Method for Forming Thin Film WO PCT/KR02/0 08-Nov-2002 03041142 15-May-2003 Published ASMG I.052 AUS LPCVD with ALD preconditioning US 09/611602 07-Jul-2000 I.052 DE LPCVD with ALD preconditioning DE 09-Jul-2001 I.052 EP LPCVD with ALD preconditioning EP 1952051.9 I.052 FR LPCVD with ALD preconditioning FR October 14, 2005 Restricted Distribution 03023835 03041141 1454347 09-Apr-2003 6592942 15-Jul-2003 Issued ASMI 1299572 09-Apr-2003 60104426 21-Jul-2004 Issued ASMI 09-Jul-2001 1299572 09-Apr-2003 1299572 21-Jul-2004 Published& Gr ASMI 09-Jul-2001 1299572 09-Apr-2003 1299572 21-Jul-2004 Issued ASMI Page 2 of 13 SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Assgnee 21-Jul-2004 Issued ASMI GB LPCVD with ALD preconditioning GB 09-Jul-2001 I.052 JP LPCVD with ALD preconditioning JP 513963/02 09-Jul-2001 2004/504496 12-Feb-2004 Published ASMI I.052 PCT LPCVD with ALD preconditioning PCT 09-Jul-2001 09-Apr-2003 Published ASMI I.053 AUS ALD in hot wall batch reactor US 09/611536 07-Jul-2000 Issued ASMI I.053 JP ALD in hot wall batch reactor JP 2001/198085 26-Jun-2001 2002/060947 28-Feb-2002 Published ASMI I.100 00 Valve block for ALD EP 03076812.1 11-Jun-2003 15-Dec-2004 Published ASMI I.100 00 Valve block for ALD US 10/864260 09-Jun-2004 2004/0250853 16-Dec-2004 Published ASMI I.100 00 Valve block for ALD JP 2004/171168 09-Jun-2004 24-Feb-2005 Published ASMI MC.004 AUS Active thermal insulation US 08/682704 25-Sep-1996 Issued ASMI MC.004 DE Active thermal insulation DE 19581484.3 25-Jul-1996 Published ASMI MC.004 FI Active thermal insulation FI 945610 28-Nov-1994 29-May-1996 97730 MC.004 JP Active thermal insulation JP 518299/1996 29-Jul-1996 1997/508889 09-Sep-1997 MC.004 KR Active thermal insulation KR 10/1996/0704 29-Jul-1996 12-Feb-1997 255429 MC.004 PCT Active thermal insulation WO /FI95/00657 28-Nov-1995 06-Jun-1996 MC.005 AUS Pump between pulses US 08/682705 25-Sep-1996 18-Jan-2000 6015590 18-Jan-2000 MC.005 C1US Pump between pulses US 09/482625 14-Jan-2000 03-Jun-2003 6572705 MC.005 C2US Pump between pulses US 09/855321 14-May-2001 2002/0041931 11-Apr-2002 Published ASMI MC.005 DE Pump between pulses DE 19581483.5 25-Jul-1996 02-Jan-1997 Published ASMI MC.005 FI Pump between pulses FI 945611 28-Nov-1994 11-Jun-1996 MC.005 JP Pump between pulses JP 518300/1996 29-Jul-1996 09-Sep-1997 MC.005 KR Pump between pulses KR 10/1996/0704 29-Jul-1996 12-Feb-1997 255430 MC.005 PCT Pump between pulses WO /FI95/00658 28-Nov-1995 MC.006 AUS Flow restriction US 08/682703 26-Jul-1996 October 14, 2005 Restricted Distribution Page 3 of 13 1299572 09-Apr-2003 1299572 Status I.052 2001/095205 1299572 Issue Date 6585823 1486707 2005/51205 05-Jan-1999 19581484 6572705 9617107 5855680 01-Jul-2003 05-Jan-1999 02-Jan-1997 10-Feb-1997 Issued Published 100409 14-Feb-2000 Issued ASMI ASMI Issued ASMI 03-Jun-2003 Issued ASMI 28-Nov-1997 Issued Published 5711811 ASMI Published 14-Feb-2000 Issued 06-Jun-1996 27-Jan-1998 ASMI 27-Jan-1998 ASMI ASMI ASMI Published ASMI Issued ASMI SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Issue Date Status Assgnee Published ASMI MC.006 DE Flow restriction DE 19581482.7 25-Jul-1996 02-Jan-1997 MC.006 FI Flow restriction FI 945612 28-Nov-1994 29-May-1996 97731 10-Feb-1997 Issued ASMI MC.006 JP Flow restriction JP 1996/517343 26-Jul-1996 09-Sep-1997 3349156 13-Sep-2002 Issued ASMI MC.006 KR Flow restriction KR 10/1996/0704 29-Jul-1996 12-Feb-1997 255431 14-Feb-2000 Issued ASMI MC.006 PCT Flow restriction WO /FI95/00659 28-Nov-1995 9617969 13-Jun-1996 Published ASMI MC.008 EP Functional surfaces EP 97660037.9 02-Apr-1997 799641 08-Oct-1997 Published ASMI MC.008 FI Functional surfaces FI 961512 03-Apr-1996 04-Oct-1997 MC.008 JP Functional surfaces JP 84939/1997 03-Apr-1997 1998/53609 24-Feb-1998 MC.009 CP1US Flow distributor, US CIP US 09/686613 04-Jan-2000 07-Oct-2003 MC.009 JP Flow distributor JP 506497/1999 28-Dec-1999 12-Mar-2002 Published ASMI MC.009 KR Flow distributor KR 10/2000/7000 04-Jan-2000 15-Mar-2001 Published ASMI MC.009 PCT Flow distributor WO /FI98/00571 03-Jul-1998 9901595 14-Jan-1999 Published ASMI MC.010 AUS Coating inner surfaces of equipment US 09/581020 07-Jun-2000 6416577 09-Jul-2002 6416577 09-Jul-2002 Issued ASMI MC.010 FI Coating inner surfaces of equipment FI 974472 09-Dec-1997 10-Jun-1999 104383 14-Jan-2000 Issued ASMI MC.010 PCT Coating inner surfaces of equipment WO /FI98/00955 09-Dec-1998 17-Jun-1999 Published ASMI MC.011 FI Ba and Sr source materials FI 981959 11-Sep-1998 12-Mar-2000 108375 Issued ASMI MC.011 JP Ba and Sr source materials JP 2000/570387 12-Mar-2001 13-Aug-2002 Published ASMI MC.011 KR Ba and Sr source materials KR 10/2001/7003 12-Mar-2001 22-Aug-2001 Published ASMI MC.011 PCT Ba and Sr source materials WO /FI99/00741 13-Sep-1999 23-Mar-2000 Published ASMI MC.012 AUS Single wafer ALCVD™ reactor US 09/568077 10-May-2000 MC.012 C1US Single wafer ALCVD™ reactor US 09/769562 MC.012 C2US Single wafer ALCVD™ reactor MC.012 EP Single wafer ALCVD™ reactor October 14, 2005 6630030 31-Aug-2001 Issued Published 6630030 07-Oct-2003 Issued 15-Jan-2002 ASMI ASMI ASMI 13-May-2003 6562140 13-May-2003 Issued ASMI 25-Jan-2001 2001/0009140 26-Jul-2001 17-Jun-2003 Issued ASMI US 10/383291 06-Mar-2003 2003/0150385 14-Aug-2003 Published ASMI EP 00660085.2 10-May-2000 15-Nov-2000 Published ASMI Restricted Distribution Page 4 of 13 6562140 107533 1052309 6579374 SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Status Assgnee 11-Nov-2000 Published ASMI 23-Jan-2001 Published ASMI MC.012 FI Single wafer ALCVD™ reactor FI 991078 10-May-1999 MC.012 JP Single wafer ALCVD™ reactor JP 2000/137175 10-May-2000 2001/20075 MC.012 KR Single wafer ALCVD™ reactor KR 10/2000/0024 10-May-2000 2001/20831 15-Mar-2001 415475 06-Jan-2004 Issued ASMI MC.012 TW Single wafer ALCVD™ reactor TW 89107029 14-Apr-2000 527433 11-Apr-2003 175825 08-Aug-2003 Issued ASMI MC.013 AUS High surface area trap US 09/619820 20-Jul-2000 6506352 14-Jan-2003 14-Jan-2003 Issued ASMI MC.013 C1US High surface area trap US 10/205296 24-Jul-2002 2002/0187084 12-Dec-2002 Published ASMI MC.013 FI High surface area trap FI 991628 20-Jul-1999 991628 21-Jan-2001 MC.013 JP High surface area trap JP 2000/220782 21-Jul-2000 2001/62244 13-Mar-2001 MC.013 TW High surface area trap TW 89114140 14-Jul-2000 555585 01-Oct-2003 188294 09-Feb-2004 Issued ASMI MC.015 AUS Metal compounds as oxygen sources US 09/687355 13-Oct-2000 6632279 14-Oct-2003 6632279 14-Oct-2003 Issued ASMI MC.015 C1US Metal compounds as oxygen sources US 10/618429 10-Jul-2003 2004/0007171 15-Jan-2004 Published ASMI MC.015 FI Metal compounds as oxygen sources FI 992223 14-Oct-1999 14-Apr-2001 Published ASMI MC.015 JP Metal compounds as oxygen sources JP 2000/315409 16-Oct-2000 2001/172767 26-Jun-2001 Published ASMI MC.015 KR Metal compounds as oxygen sources KR 10/2000/0060 14-Oct-2000 2001/40090 15-May-2001 Published ASMI MC.016 AUS Yttrium stabilized ZrO2 US 09/835737 16-Apr-2001 2002/0042165 11-Apr-2002 6548424 15-Apr-2003 Issued ASMI MC.016 C1US Yttrium stabilized ZrO2 US 10/410718 08-Apr-2003 2003/0215996 20-Nov-2003 6777353 17-Aug-2004 Issued ASMI MC.016 JP Yttrium stabilized ZrO2 JP 2001/117318 16-Apr-2001 2001/355070 25-Dec-2001 MC.016 TW Yttrium stabilized ZrO2 TW 90108955 13-Apr-2001 21-Dec-2003 193372 MC.017 AUS Ozone for silicon/metal oxides US 10/148525 27-Aug-2002 2003/0188682 09-Oct-2003 Published ASMI MC.017 C1US Ozone for silicon/metal oxides US 10/678766 02-Oct-2003 2004/0065253 08-Apr-2004 Published ASMI MC.017 EP Ozone for silicon/metal oxides EP 00987492.6 04-Apr-2000 16-Oct-2002 Published ASMI MC.017 JP Ozone for silicon/metal oxides JP 2001/542604 04-Dec-2000 2003/515674 07-May-2003 Published ASMI MC.017 KR Ozone for silicon/metal oxides KR 10/2002/7006 30-May-2002 2002/63196 01-Aug-2002 Published ASMI October 14, 2005 Restricted Distribution Page 5 of 13 991078 Issue Date 992223 567584 1248865 6506352 110311 31-Dec-2002 Issued Published Published 20-Apr-2004 Issued ASMI ASMI ASMI ASMI SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Assgnee Published ASMI Ozone for silicon/metal oxides WO /FI00/01072 04-Dec-2000 MC.020 AUS Batch ALCVD™ reactor with load lock US 09/749329 27-Dec-2000 2001/0013312 16-Aug-2001 6447607 10-Sep-2002 Issued ASMI MC.020 D1US Batch ALCVD™ reactor with load lock US 10/205297 24-Jul-2002 2002/0185060 12-Dec-2002 6689210 10-Feb-2004 Issued ASMI MC.020 TW Batch ALCVD™ reactor with load lock TW 89127892 26-Dec-2000 11-Aug-2003 184030 18-Dec-2003 Issued ASMI MC.021 AUS Batch type holder with angle variation. US 09/749339 27-Dec-2000 2001/0014371 16-Aug-2001 6551406 22-Apr-2003 Issued ASMI MC.021 C1US Batch type holder with angle variation. US 10/365926 13-Feb-2003 2003/0140854 31-Jul-2003 6835416 28-Dec-2004 Issued ASMI MC.021 JP-U Batch type holder with angle variation. JP-U 2000/9189 27-Dec-2000 2001/3079231 10-Aug-2001 3079231 23-May-2001 Issued ASMI MC.021 KR-U Batch type holder with angle variation. KR-U 20/2000/0036 28-Dec-2000 2001/0224419 15-May-2001 224419 07-Mar-2001 Issued ASMI MC.021 TW Batch type holder with angle variation. TW 89127893 26-Dec-2000 524876 21-Mar-2003 174871 25-Jul-2003 Issued ASMI MC.023 AUS In situ reduction of source materials US 10/110598 11-Apr-2002 6767582 27-Jul-2004 27-Jul-2004 Issued ASMI MC.023 EP In situ reduction of source materials EP 00969585.9 14-Mar-2002 14-Aug-2002 Published ASMI MC.023 FI In situ reduction of source materials FI 992233 15-Oct-1999 15-Apr-2001 Published ASMI MC.023 JP In situ reduction of source materials JP 2001/529475 15-Apr-2002 2003/511560 25-Mar-2003 published ASMI MC.023 KR In situ reduction of source materials KR 10/2002/7004 15-Apr-2002 30-May-2002 Published ASMI MC.023 PCT In situ reduction of source materials WO /FI00/00884 12-Oct-2000 19-Apr-2001 Published ASMI MC.023 TW In situ reduction of source materials TW 89121351 12-Oct-2000 008261 sep 200 01-Sep-2002 162686 27-Dec-2002 Issued ASMI MC.024 AUS Tungsten nitride US 10/110730 13-Oct-2000 6863727 08-Mar-2005 Issued ASMI MC.024 DE Tungsten nitride DE 09695966 15-Mar-2002 1242647 25-Sep-2002 60004566 18-Aug-2003 Issued ASMI MC.024 EP Tungsten nitride EP 00969596.6 15-Mar-2002 1242647 25-Sep-2002 1159313 13-Aug-2003 Published& Gr ASMI MC.024 FI Tungsten nitride FI 19992234 15-Oct-1999 MC.024 FR Tungsten nitride FR 09695966 15-Mar-2002 1242647 25-Sep-2002 1242647 13-Aug-2003 Issued ASMI MC.024 GB Tungsten nitride GB 09695966 15-Mar-2002 1242647 25-Sep-2002 1242647 18-Aug-2003 Issued ASMI MC.024 JP Tungsten nitride JP 2001/529476 15-Apr-2002 2003/511561 Restricted Distribution Page 6 of 13 546400 07-Jun-2001 Status MC.017 PCT October 14, 2005 0140541 Issue Date 6767582 15-Apr-2001 25-Mar-2003 Published published ASMI ASMI SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Issue Date Status Assgnee MC.024 KR Tungsten nitride KR 10/2002/7004 15-Apr-2002 30-May-2002 Published ASMI MC.024 PCT Tungsten nitride WO /FI00/00895 13-Oct-2000 19-Apr-2001 Published ASMI MC.024 TW Tungsten nitride TW 89121352 12-Oct-2000 541351 11-Jul-2003 Published ASMI MC.025 AUS Selective coating US 09/644636 23-Aug-2000 6391785 21-May-2002 6391785 21-May-2002 Issued ASMI MC.025 C1 Selective coating (IMEC) US 10/123492 15-Apr-2002 2002/0155722 24-Oct-2002 6664192 16-Dec-2003 Issued ASMI MC.025 C2US Selective coating (IMEC) US 10/731656 08-Dec-2003 2004/0121616 24-Jun-2004 6852635 08-Feb-2005 Issued ASMI MC.025 EP Selective coating (IMEC) EP 000955875 24-Aug-2000 22-May-2002 Published ASMI MC.025 JP Selective coating (IMEC) JP 2001/519484 24-Aug-2000 2003/508897 04-Mar-2003 Published ASMI MC.025 PCT Selective coating (IMEC) WO /US00/23252 24-Aug-2000 01/15220 01-Mar-2001 Published ASMI MC.025 TW Selective coating (IMEC) TW 89117141 24-Aug-2000 478045 01-Mar-2002 151092 MC.027 EP Nitride nanolaminates on sensitive surfaces EP 00973583.8 16-Oct-2000 1221178 10-Jul-2002 Published ASMI MC.027 JP Nitride nanolaminates on sensitive surfaces JP 2001/531142 15-Apr-2002 2003/524888 19-Aug-2003 Published ASMI MC.027 PCT Nitride nanolaminates on sensitive surfaces WO /US00/28654 16-Oct-2000 01/29893 26-Apr-2001 Published ASMI MC.027 TW Nitride nanolaminates on sensitive surfaces TW 89121517 13-Oct-2000 550306 01-Sep-2003 185093 07-Jan-2004 Issued ASMI MC.027 US Nitride nanolaminates on sensitive surfaces US 10/049125 20-Aug-2002 6902763 07-Jun-2005 Issued ASMI MC.028 AUS Metals with boron compound US 09/687205 13-Oct-2000 05-Nov-2002 6475276 05-Nov-2002 Issued ASMI MC.028 C1US Metals with boron compound (ALD) US 10/210715 30-Jul-2002 2002/0187256 12-Dec-2002 6821889 23-Nov-2004 Issued ASMI MC.028 FI Metals with boron compound (ALD) FI 19992235 15-Oct-1999 15-Apr-2001 MC.029 AUS TMA and O3 US 10/003749 23-Oct-2001 2002/0106451 08-Aug-2002 6743475 01-Jun-2004 Issued ASMI MC.029 C1 TMA and O3 US 10/829894 21-Apr-2004 2004/0197476 07-Oct-2004 26-Apr-2005 Issued ASMI MC.029 JP TMA and O3 JP 2001/324382 23-Oct-2001 2002/161353 04-Jun-2002 MC.029 TW TMA and O3 TW 89122263 23-Oct-2000 21-Aug-2003 185773 14-Jan-2004 MC.030 AUS Solid source for Pulsar™ US 09/854706 14-May-2001 2001/0042523 22-Nov-2001 6699524 October 14, 2005 Restricted Distribution Page 7 of 13 1206799 6475276 548239 30-May-2003 Issued Published 6884465 ASMI ASMI Published ASMI Issued ASMI 02-Mar-2004 Issued ASMI SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Issue Date Status Assgnee MC.030 C1US Solid source for Pulsar™ US 10/695269 28-Oct-2003 2004/0086642 06-May-2004 Published ASMI MC.030 JP Solid source for Pulsar™ JP 2001/145256 15-May-2001 2001/323374 22-Nov-2001 Published ASMI MC.030 TW Solid source for Pulsar™ TW 89111644 14-Jun-2000 21-Mar-2003 174786 24-Jul-2003 Issued ASMI MC.031 AUS CVD of metalloid thin films US 09/764692 18-Jan-2001 2001/0009695 26-Jul-2001 6599572 29-Jul-2003 Issued ASMI MC.031 C1US CVD of metalloid thin films US 10/394309 20-Mar-2003 2003/0186495 02-Oct-2003 6794287 21-Sep-2004 Issued ASMI MC.033 AUS Liquid source system for ALD applications US 10/615332 08-Jul-2003 2004/0079286 29-Apr-2004 Published ASMI MC.033 VJP Liquid source system for ALD applications JP 2003/274331 14-Jul-2003 2004/36004 05-Feb-2004 Published ASMI MC.033 VTW Liquid source system for ALD applications TW 92118177 03-Jul-2002 2004/02774 16-Feb-2004 Published ASMI MC.035 AUS Active CVD-zone for ALD US 09/836674 16-Apr-2001 15-Aug-2002 Published ASMI MC.035 JP Active CVD-zone for ALD JP 2001/117413 16-Apr-2001 18-Dec-2001 Published ASMI MC.035 TW Active CVD-zone for ALD TW 89107028 14-Apr-2000 MC.036 AUS ALCVD™ reactor equipped with filter and hot drain US 09/835931 MC.036 FI ALCVD™ reactor equipped with filter and hot drain MC.036 JP 524875 01-Aug-2002 160775 27-Nov-2002 Issued ASMI 16-Apr-2001 2001/0054377 27-Dec-2001 6783590 31-Aug-2004 Issued ASMI FI 20000900 14-Apr-2000 15-Oct-2001 Published ASMI ALCVD™ reactor equipped with filter and hot drain JP 2001/117468 16-Apr-2001 09-Jan-2002 Published ASMI MC.036 KR ALCVD™ reactor equipped with filter and hot drain KR 10/2001/0020 14-Apr-2001 08-Nov-2001 Published ASMI MC.036 TW ALCVD™ reactor equipped with filter and hot drain TW 89107027 14-Apr-2000 576873 21-Feb-2004 197419 17-Jun-2004 Published ASMI MC.037 AUS CuO reduction / plasma radicals US 09/291807 14-Apr-1999 6342277 29-Jan-2002 29-Jan-2002 Issued ASMI MC.037 C1US CuO reduction / plasma radicals US 09/974162 09-Oct-2001 2002/0031618 14-Mar-2002 6616986 09-Sep-2003 Issued ASMI MC.037 C2 CuO reduction / plasma radicals US 10/683727 10-Oct-2003 2004/0076751 22-Apr-2004 MC.037 D1US CuO reduction / plasma radicals US 09/866156 24-May-2001 2001/0028924 11-Oct-2001 MC.037 D2 CuO reduction / plasma radicals US 10/692243 22-Oct-2003 2004/0083949 06-May-2004 October 14, 2005 Restricted Distribution Page 8 of 13 496907 6342277 Published 6652924 25-Nov-2003 Issued Published ASMI ASMI ASMI SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo 1183406 Issue Date Status Assgnee 06-Mar-2002 Published ASMI MC.037 EP CuO reduction / plasma radicals EP 00922268.8 14-Apr-2000 MC.037 JP CuO reduction / plasma radicals JP 2000/610879 12-Oct-2001 2002541332 03-Dec-2002 Published ASMI MC.037 PCT CuO reduction / plasma radicals WO /US00/10267 14-Apr-2000 19-Oct-2000 Published ASMI MC.038 AUS Licenced Sherman patent US 08/699002 16-Aug-1996 MC.039 EP Conductance ratio EP 19581099 18-Nov-2002 MC.039 JP Conductance ratio JP 2002/513966 MC.039 KR Conductance ratio MC.039 PCT 0061833 29-Jun-1999 29-Jun-1999 Issued ASMI 02-Jul-2003 Published ASMI 20-Jul-2001 2004/504497 12-Feb-2004 Published ASMI KR 10/2003/7000 20-Jul-2001 2003/24787 26-Mar-2003 Published ASMI Conductance ratio WO /FI01/00680 20-Jul-2001 0208488 31-Jan-2002 Published ASMI MC.039 TW Conductance ratio TW 90117837 20-Jul-2001 576874 21-Feb-2004 197452 17-Jun-2004 Issued ASMI MC.039 US Conductance ratio US 10/333521 20-Jul-2001 2003/0224107 04-Dec-2003 6881263 19-Apr-2005 Issued ASMI MC.043 AUS Sullivan ALE-reactor (radicals and purging) US 09/392371 08-Sep-1999 28-Jan-2003 6511539 28-Jan-2003 Issued ASMA MC.043 C1US Sullivan ALE-reactor (radicals and purging) US 10/317275 10-Dec-2002 2003/0089308 15-May-2003 6764546 20-Jul-2004 Issued ASMA MC.043 D1US Sullivan ALE-reactor (radicals and purging) US 10/317266 10-Dec-2002 2003/0101927 05-Jun-2003 Published ASMA MC.043 EP Sullivan ALE-reactor (radicals and purging) EP 00963326.4 08-Sep-2002 26-Jun-2002 Published ASMA MC.043 JP Sullivan ALE-reactor (radicals and purging) JP 2001/521471 07-Mar-2002 2003/508932 04-Mar-2003 Published ASMA MC.043 PCT Sullivan ALE-reactor (radicals and purging) WO /US00/24586 08-Sep-2000 0117692 15-Mar-2001 Published ASMA MC.043 TW Sullivan ALE-reactor (radicals and purging) TW 89118222 06-Sep-2000 527224 11-Apr-2003 175152 29-Jul-2003 Issued ASMA MC.044 AUS Graded barriers US 09/800757 06-Mar-2001 2001/0041250 15-Nov-2001 6534395 18-Mar-2003 Issued ASMI MC.044 C1US Graded barriers US 10/253859 23-Sep-2002 2003/0032281 13-Feb-2003 6933225 23-Aug-2005 Issued ASMI MC.044 D1US Graded barriers US 10/329658 23-Dec-2002 2003/0129826 10-Jul-2003 09-Mar-2004 Issued ASMI MC.044 EP Graded barriers EP 01918295.5 02-Mar-2001 18-Dec-2002 Published ASMI MC.044 JP Graded barriers JP 2001/565432 02-Mar-2001 2003/526218 02-Sep-2003 Published ASMI MC.044 PCT Graded barriers WO /US01/06746 02-Mar-2001 13-Sep-2001 Published ASMI October 14, 2005 Restricted Distribution Page 9 of 13 1322797 5916365 6511539 1216106 1266054 0166832 6703708 SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Status Assgnee 157886 14-Sep-2002 Issued ASMI 27-Apr-2004 6727169 27-Apr-2004 Issued ASMI 11-Jun-2002 Issue Date MC.044 TW Graded barriers TW 90105107 06-Mar-2001 MC.045 AUS Dual damascene US 09/644416 23-Aug-2000 MC.045 D1US Dual damascene US 10/737315 15-Dec-2003 2004/0130029 08-Jul-2004 Published ASMI MC.045 EP Dual damascene EP 00957751.1 26-Feb-2002 1221177 10-Jul-2002 Published ASMI MC.045 PCT Dual damascene WO /US00/23213 24-Aug-2000 0129891 26-Apr-2001 Published ASMI MC.045 TW Dual damascene TW 89117142 24-Aug-2000 01-Mar-2002 151592 27-Jun-2002 Issued ASMI MC.046 AUS Low k US 09/843518 26-Apr-2001 2001/0054769 27-Dec-2001 6482733 19-Nov-2002 Issued ASMI MC.046 C1US Low k US 10/303355 21-Nov-2002 2003/0134508 17-Jul-2003 6699783 02-Mar-2004 Issued ASMI MC.046 CP1US Low k US 10/303293 22-Nov-2002 2003/0143839 31-Jul-2003 6759325 06-Jul-2004 Issued ASMI MC.046 D1US Low k US 10/237526 06-Sep-2002 2003/0054631 20-Mar-2003 6686271 03-Feb-2004 Issued ASMI MC.046 JP Low k JP 2001/144436 15-May-2001 2002/9078 11-Jan-2002 Published ASMI MC.046 WO Low k PCT PCT/US/03/4 22-Nov-2003 04049432 10-Jun-2004 Published ASMI MC.047 AUS Shallow trench US 09/887199 21-Jun-2001 2003/0015764 MC.048 AUS Capacitor dielectrics US 09/452844 03-Dec-1999 MC.048 D1US Capacitor dielectrics US 09/791072 MC.048 JP Capacitor dielectrics MC.048 TW 6727169 6861334 01-Mar-2005 Issued ASMI 6780704 24-Aug-2004 Issued ASMI 22-Feb-2001 2001/0024387 27-Sep-2001 6831315 14-Dec-2004 Issued ASMI JP 2000/368569 04-Dec-2000 24-Jul-2001 Capacitor dielectrics TW 89125655 01-Dec-2000 11-May-2002 155059 27-Aug-2002 Issued ASMI MC.049 AUS Oxidation moderation US 09/791167 22-Feb-2001 2001/0031562 18-Oct-2001 6492283 10-Dec-2002 Issued ASMI MC.049 C1US Oxidation moderation US 10/281418 25-Oct-2002 2003/0060057 27-Mar-2003 6794314 21-Sep-2004 Issued ASMI MC.050 AUS Metal oxide reduction US 10/276663 15-Nov-2002 2004/0038529 26-Feb-2004 6921712 26-Jul-2005 Issued ASMI MC.050 EP Metal oxide reduction EP 01934057.9 20-Sep-2002 12-Feb-2003 Published ASMI MC.050 JP Metal oxide reduction JP 2001/584473 15-Nov-2002 2003/533880 11-Nov-2003 Published ASMI MC.050 KR Metal oxide reduction KR 10/2002/7015 13-Nov-2002 23-Jan-2003 Published ASMI October 14, 2005 Restricted Distribution Page 10 of 13 1282911 2003/7612 23-Jan-2003 Published ASMI SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Issue Date Status Assgnee Published ASMI MC.050 PCT Metal oxide reduction WO /FI01/00473 15-May-2001 188972 22-Nov-2001 MC.050 TW Metal oxide reduction TW 90111584 15-May-2001 508658 01-Nov-2002 166237 18-Mar-2003 Issued ASMI MC.051 AUS Metals via or through oxide intermediate US 09/858820 15-May-2001 2002/0004293 10-Jan-2002 6482740 19-Nov-2002 Issued ASMI MC.051 C1US Metals via or through oxide intermediate US 10/300169 19-Nov-2002 2003/0096468 22-May-2003 6887795 03-May-2005 Issued ASMI MC.051 CP1US Liquid phase reduction of metal oxides US 10/394430 20-Mar-2003 2004/0005753 08-Jan-2004 MC.052 AUS Nitrogen source material US 10/100500 15-Mar-2002 2002/0182320 05-Dec-2002 6706115 16-Mar-2004 Issued ASMI MC.052 FI Nitrogen source material FI 20010539 16-Mar-2001 109770 15-Oct-2002 Issued ASMI MC.056 AUS Aluminum oxide sandwich US 09/945463 31-Aug-2001 2002/0115252 22-Aug-2002 6660660 09-Dec-2003 Issued ASMI MC.056 D1 Aluminum oxide sandwich US 10/653737 02-Sep-2003 2004/0043557 04-Mar-2004 Published ASMI MC.056 JP Aluminum oxide sandwich JP 2002/535166 11-Sep-2001 2004/535166 15-Apr-2004 Published ASMI MC.056 PCT Aluminum oxide sandwich WO /US01/42167 11-Sep-2001 0231875 18-Apr-2002 Published ASMI MC.056 TW Aluminum oxide sandwich TW 90124227 02-Oct-2001 516168 01-Jan-2003 MC.057 AUS Low temperature gate stack US 10/227475 MC.057 PCT Low temperature gate stack MC.057 TW Published ASMI 169832 07-May-2003 Issued ASMI 22-Aug-2002 2003/0049942 13-Mar-2003 6806145 19-Oct-2004 Issued ASMI WO /US02/27230 26-Aug-2002 03041124 15-May-2003 Low temperature gate stack TW 91119485 28-Aug-2002 559916 01-Nov-2003 189726 02-Mar-2004 Issued ASMI MC.058 AUS Transition metal carbides US 09/687204 13-Oct-2000 6482262 19-Nov-2002 6482262 19-Nov-2002 Issued ASMI MC.058 C1US Transition metal carbides US 10/246131 17-Sep-2002 2003/0031807 13-Feb-2003 6800552 05-Oct-2004 Issued ASMI MC.058 JP Transition metal carbides JP 2001/532259 11-Apr-2002 2003/512527 02-Apr-2003 Published ASMI MC.058 PCT Transition metal carbides WO /US00/28537 16-Oct-2000 0129280 26-Apr-2001 Published ASMI MC.058 TW Transition metal carbides TW 89121518 13-Oct-2000 527429 11-Apr-2003 175854 MC.059 AUS Magnetic RAM US 09/997396 28-Nov-2001 2002/0076837 20-Jun-2002 Published ASMI MC.059 EP Magnetic RAM EP 19952456 26-Nov-2001 1340269 03-Sep-2003 Published ASMI MC.059 PCT Magnetic RAM WO /US01/44350 26-Nov-2001 0245167 06-Jun-2002 Published ASMI October 14, 2005 Restricted Distribution Page 11 of 13 Published 08-Aug-2003 Issued ASMI ASMI SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo 527700 Issue Date Status Assgnee 11-Apr-2003 175767 07-Aug-2003 Issued ASMI 06-Jul-2004 Issued ASMI MC.059 TW Magnetic RAM TW 90129481 29-Nov-2001 MC.060 AUS Magnetic heads US 10/136095 30-Apr-2002 2002/0196591 26-Dec-2002 6759081 MC.060 DV1 Magnetic heads US 10/781574 17-Feb-2004 2004/0161636 19-Aug-2004 Published ASMI MC.060 JP Magnetic heads JP 2002/135407 10-May-2002 2003/59016 28-Feb-2003 Published ASMI MC.064 AUS Limited film growth on walls US 09/801542 07-Mar-2001 2002/0157611 31-Oct-2002 MC.064 JP Limited film growth on walls JP 2002/60518 06-Mar-2002 2002/353154 06-Dec-2002 Published ASMI MC.065 AUS Active pulse shape monitoring US 10/066169 30-Jan-2002 2003/0143747 31-Jul-2003 Published ASMI MC.066 AUS Divider plate US 10/222005 14-Aug-2002 2003/0075273 24-Apr-2003 6820570 MC.066 PCT Divider plate WO /US02/26192 15-Aug-2002 27-Feb-2003 MC.072 AUS Rare earth oxides US 10/067634 04-Feb-2002 2003/0072882 17-Apr-2003 6858546 MC.072 JP Rare earth oxides JP 2001/236874 03-Aug-2001 2003/55093 26-Feb-2003 MC.073 AUS Ruthenium thin films US 10/066315 29-Jan-2002 2003/0165615 04-Sep-2003 6824816 MC.073 JP Ruthenium thin films JP 2003/19678 29-Jan-2003 2003/226970 15-Aug-2003 Published ASMI MC.073 KR Ruthenium thin films KR 10/2003/0004 24-Jan-2003 2003/0065343 06-Aug-2003 Published ASMI MC.073 TW Ruthenium thin films TW 91101473 29-Jan-2002 01-Oct-2003 MC.075 AUS Removable container closure US 10/187142 MC.075 EP Removable container closure MC.075 PCT 03016587 06-Sep-2005 Issued 23-Nov-2004 Issued Published 22-Feb-2005 Issued Published 30-Nov-2004 Issued ASMI ASMI ASMI ASMI ASMI ASMI 188712 12-Feb-2004 Issued ASMI 28-Jun-2002 2003/0075925 24-Apr-2003 6889864 10-May-2005 Issued ASMI EP 2744752.3 28-Jun-2002 1404890 07-Apr-2004 Published ASMI Removable container closure PCT PCT/US02/20 28-Jun-2002 03/004723 16-Jan-2003 Published ASMI MC.075 TW Removable container closure TW 91114123 27-Jun-2002 539822 01-Jul-2003 181018 28-Oct-2003 Issued ASMI MC.076 AUS CuO reduction prior to SiC. US 09/975466 09-Oct-2001 2002/0098685 25-Jul-2002 6878628 12-Apr-2005 Issued ASMI MC.077 AUS Low temperature WNC. US 10/242368 12-Sep-2002 2003/0082296 01-May-2003 Published ASMI MC.077 EP Low temperature WNC. EP 2798955.7 10-Sep-2002 1425435 09-Jun-2004 Published ASMI MC.077 PCT Low temperature WNC. WO /US02/29032 10-Sep-2002 03025243 27-Mar-2003 Published ASMI October 14, 2005 Restricted Distribution Page 12 of 13 556314 6939579 SM Patents Licensed / Sub-licensed to Oxford Instruments Case Extension Title ApplicationNo FilingDate PublicationNo Publication Date PatentNo Status Assgnee 01-Nov-2003 189730 02-Mar-2004 Issued ASMI 30-Aug-2005 Issued ASMI MC.077 TW Low temperature WNC. TW 91120831 12-Sep-2002 MC.078 AUS Stuffed diffusion barrier US 10/007304 05-Dec-2001 2002/0187631 12-Dec-2002 6936535 MC.078 JP Stuffed diffusion barrier JP 2002/566544 05-Dec-2001 2004/525510 19-Aug-2004 MC.078 KR Stuffed diffusion barrier KR 10/2000/0074 06-Dec-2000 2003/0386034 02-Jun-2003 MC.078 PCT Stuffed diffusion barrier WO /US01/47592 05-Dec-2001 29-Aug-2002 Published ASMI MC.087 AUS Enhanced thermal conduction US 10/463309 16-Jun-2003 2003/0232138 18-Dec-2003 Published ASMI MC.087 VJP Enhanced thermal conduction JP 2003/171797 17-Jun-2003 2004/134741 30-Apr-2004 Published ASMI MC.087 VTW Enhanced thermal conduction TW 92116365 17-Jun-2003 01-Apr-2004 Published ASMI MC.094 AUS Source vapour pressure monitor US 10/285348 30-Oct-2002 2004/0083787 06-May-2004 6779378 MC.094 JP Source vapour pressure monitor JP 2003/370625 30-Oct-2003 2004/149925 27-May-2004 Published ASMI MC.098 AUS Molecular bridges US 10/696244 28-Oct-2003 2004/0092096 13-May-2004 Published ASMI MC.098 PCT Molecular bridges WO 2003/033214 21-Oct-2003 2004/040642 13-May-2004 Published ASMI MC.108 AUS Adjusting electronegativities and work function US 10/430703 05-May-2003 2004/016261 03-Jun-2004 Published ASMI MC.108 JP Adjusting electronegativities and work function JP 2003/404434 03-Dec-2003 2004/186693 02-Jul-2004 Published ASMI MC.109 AUS Barrier layers for metal gates and electrodes US 10/430811 05-May-2003 2004/0104439 03-Jun-2004 MC.110 AUS Enhanced CMOS process flow US 10/601037 19-Jun-2003 2004/0106249 03-Jun-2004 MC.145 AUS HfSiON with one reactor US 10/652851 29-Aug-2003 October 14, 2005 Restricted Distribution Page 13 of 13 559890 Issue Date 02067319 2004/04912 Published 0386034 6858524 02-Jun-2003 Issued 24-Aug-2004 Issued 22-Feb-2005 Issued Published 6818517 16-Nov-2004 Issued ASMI ASMI ASMI ASMI ASMI ASMI SM Patents Licensed / Sub-licensed to Oxford Instruments Health and Safety 1. Oxford Instruments Plasma Technology Plasmalab and Ionfab Health and Safety A l’attention des clients de langue française Le document hygiène et securité est disponible en français. Vous devez vous assurer que cette version est présente dans ce manual. Si elle est manquante, contactez votre representant Oxford Instruments Plasma Technology. Für deutschsprachige Kunden besteht dieses Kapitel “Health and Safety” unter dem Titel “Sicherheit und Unfallverhütung” auch in deutscher Fassung, die in diesem Handbuch enthalten sein sollte. Falls diese fehlt, fordern Sie bitte ein Exemplar bei Oxford Instruments Plasma Technology an. Contents 1. Health and Safety ..........................................................................................................................................1-1 1.1 Introduction ..............................................................................................................................................1-2 1.1.1 Warnings and Cautions .................................................................................................................1-2 1.2 Service mode ...........................................................................................................................................1-3 1.3 Hazard Categories...................................................................................................................................1-3 1.4 Specific Hazards......................................................................................................................................1-5 1.4.1 Electrical ........................................................................................................................................1-5 1.4.2 Electromagnetic Radiation ............................................................................................................1-6 1.4.3 Light ...............................................................................................................................................1-7 1.4.4 High Temperature..........................................................................................................................1-8 1.4.5 Low Temperature ..........................................................................................................................1-8 1.4.6 Gases ............................................................................................................................................1-9 1.4.7 Precursor materials .....................................................................................................................1-11 1.4.8 Materials ......................................................................................................................................1-12 1.4.9 Process Chemicals......................................................................................................................1-15 1.4.10 Vacuum........................................................................................................................................1-15 1.4.11 Compressed air ...........................................................................................................................1-16 1.4.12 Mechanical ..................................................................................................................................1-16 1.4.13 General ........................................................................................................................................1-17 1.5 Warning and advisory labels .................................................................................................................1-18 Printed:06 April 2010, 09:32 Health and Safety Page 1-1 of 24 Issue 18: April 2010 Plasmalab and Ionfab 1.1 Oxford Instruments Plasma Technology Health and Safety Introduction This section must be read and understood before the system is approached or operated and before any maintenance work is carried out. It is a requirement that procedures and practices taught in Oxford Instruments Plasma Technology training courses are followed. If any user of the tool has not been directly trained by Oxford Instruments Plasma Technology, please ensure that they understand the safety issues associated with the tool, and that they consult relevant personnel for guidance when operating the tool. If the equipment is used in a manner not specified by Oxford Instruments Plasma Technology, the protection provided by the equipment may be impaired. It is the user's responsibility to ensure the system is operated in a safe manner. Consideration should be made for all aspects of the system's lifecycle, including, handling, installation, normal operation, maintenance, dismantling, decontamination and disposal. It is the user's responsibility to complete suitable risk assessments, to determine the magnitude of hazards, particularly when using hazardous process gases and chemicals. The use of gas, chemical and fire detection equipment, and gas pod monitoring equipment should be considered. Please read this section carefully, and note that certain aspects of the system can produce more than one hazard (e.g. process gases can be a fire hazard and a toxic hazard). Some safety features are fitted to guard against more than one hazard. In particular, note that some view ports must guard against UV light emission (sub-section 1.4.3, page 1-7), RF energy emission (sub-section 1.4.2, page 1-6) and must be fitted with implosion guards. Note that this document is standardised and may contain warnings, which are not relevant to your particular system. 1.1.1 Warnings and Cautions Throughout this manual, WARNINGS are inserted in the text to draw the reader’s attention to hazards. Failure to comply with a WARNING could result in death or serious injury. A typical warning is shown below: HAZARD IDENTIFICATION CONSEQUENCES OF IGNORING THE HAZARD WARNING HAZARDOUS VOLTAGE - CONTACT CAN CAUSE DEATH, SEVERE INJURY OR BURNS Any work requiring the removal of covers or panels must only be performed by authorised personnel who are aware of the hazards involved. Before removing any covers or panels, power down the system then carry out the lock out / tag out procedure detailed in Section 6. PICTORIAL SHOWING THE HAZARD CATEGORY GRAPHICALLY HOW TO AVOID THE HAZARD Throughout this manual CAUTIONS are inserted in the text to draw the reader’s attention to situations and procedures, which could cause damage to the equipment. Issue 18: April 2010 Health and Safety Page 1-2 of 24 Printed:06 April 2010, 09:32 Health and Safety Oxford Instruments Plasma Technology Plasmalab and Ionfab A typical CAUTION is shown below: CAUTION Upper electrodes can become contaminated from finger marks etc. When working on the upper electrodes, ensure that powder-free cleanroom gloves are worn. 1.2 Service mode Service mode allows parts of the system to be operated without some of the interlocks that are present in other operating modes. For example in service mode the loadlock end-effector can be moved with the chamber lid open. Take additional care when operating the equipment in service mode to avoid personal injury or damage to the equipment. 1.3 Hazard Categories Hazards and associated warnings relevant to Health and Safety which appear in the text of this manual and in this section will fall into the following categories: a) Electrical (sub-section 1.4.1, page 1-5) The system carries voltages high enough to cause death or serious injury. Even when the electrical power supply is isolated, electrical energy at dangerous levels is stored by capacitors. b) Electromagnetic Radiation (sub-section 1.4.2, page 1-6) Parts of the system produce electromagnetic radiation from audio frequencies to 2.45 GHz. This radiation can have a field strength strong enough to cause death or injury if not properly shielded. c) Light (sub-section 1.4.3, page 1-7) Ultra Violet (UV) or Laser light may be emitted in some systems. These can cause permanent damage to the eyes or blindness if not protected by the relevant shields or filters. d) High Temperature (sub-section1.4.4, page 1-8) Some components run at a temperature high enough to cause severe burns. e) Low Temperature (sub-section 1.4.5, page 1-8) Components and gases at very low temperatures can cause severe 'burns' if allowed to contact the skin. f) Gases (sub-section 1.4.6, page 1-9) Some process gases and cleaning fluid vapour may be toxic, corrosive, carcinogenic or flammable. They may also cause asphyxiation through oxygen deprivation. Gases under pressure can, if applied to the body, enter it and cause death or serious injury. g) Precursors (sub-section 1.4.7, page1-11) Some precursors may be toxic, corrosive, carcinogenic or flammable. h) Materials (sub-section 1.4.8, page1-12) Some materials used in the system can become toxic during use. If this happens, then great care must be taken during maintenance to prevent death or serious injury. Some processes may generate nanoparticles; particles whose sizes range from 1 to 100 nm. The effect of these particles on the health and safety of personnel and the environment is currently unknown. Follow local and national safety procedures to alleviate any risk. If a new process is considered and new materials may result, please consult Oxford Instruments Plasma Technology for further health and safety information. Printed:06 April 2010, 09:32 Health and Safety Page 1-3 of 24 Issue 18: April 2010 Plasmalab and Ionfab Oxford Instruments Plasma Technology Health and Safety i) Process Chemicals (sub-section 1.4.9, page 1-15) Some etching and deposition compounds are toxic during use, and can leave toxic residues in the system. Some non-plasma cleaning processes, for example wet cleaning, may involve the use of toxic or harmful chemicals. Ensure that local and national health and safety procedures are followed when using such chemicals. j) Vacuum (sub-section 0, page 1-15) Chambers or other components under partial vacuum represent stored energy, which can cause injury if released carelessly. k) Compressed Air (sub-section 1.4.11, page 1-16) Compressed air can enter the body through the skin and cause serious injury. Pneumatically operated system components can be actuated suddenly, even when the system is not operating, causing serious injury. l) Mechanical (sub-section 0, page 1-16) Injury can be caused by heavy components, sprung components, deposition layers under stress, embrittled wire and machinery in motion. Some mechanical cleaning processes may generate dust or very small particles, which may be toxic or harmful. Ensure that local and national health and safety procedures are followed when performing mechanical cleaning procedures. m) General (sub-section 1.4.13, page 1-17) Various procedures must be studied and followed. These include procedures specific to the system in question and also local and national Health and Safety standards. Issue 18: April 2010 Health and Safety Page 1-4 of 24 Printed:06 April 2010, 09:32 Health and Safety Oxford Instruments Plasma Technology 1.4 Specific Hazards 1.4.1 Electrical Plasmalab and Ionfab WARNING HAZARDOUS VOLTAGE - CONTACT CAN CAUSE DEATH, SEVERE INJURY OR BURNS Any work requiring the removal of covers or panels must only be performed by authorised personnel who are aware of the hazards involved. Before removing any covers or panels, power down the system then carry out the lock out / tag out procedure detailed in Section 6. 1.4.1.1 Parts of the system carry high voltages, which are capable of causing injury or death. Take great care when carrying out maintenance tasks. 1.4.1.2 Do not operate the system if any of the doors, panels or covers are removed. Parts of the system may still be 'live' even when shut down by a switch, blown fuse or control function. Note that the system POWER OFF button does not isolate the main distribution panel. 1.4.1.3 Ensure that all system units are connected to electrical earth (ground). For details of the required electrical installation, refer to the ‘Services Specifications for Plasmalab and Ionfab systems’ document which is included at the rear of this manual’s binder. 1.4.1.4 During troubleshooting and calibration, the power supplies may need to be connected with live components exposed. This work must only be carried out by skilled personnel who are aware of the hazards involved. 1.4.1.5 Ensure that all safety interlocks are tested before the system is used for the first time and at scheduled intervals thereafter. These tests must be carried out by suitably qualified personnel. 1.4.1.6 Inspect the system regularly for damaged components, e.g. cables, connectors or switches. Any components found damaged must be replaced before continuing to operate the system. Refer to the instructions in the maintenance section of this manual for details of any particular tests of the cables that may be required. 1.4.1.7 If any water leaks are detected, immediately switch the system off at the main incoming circuit breaker. 1.4.1.8 No servicing is to be carried out unless all personnel involved fully understand the danger of stored electrical energy. Refer to the lock out / tag out procedure detailed in Section 6. Printed:06 April 2010, 09:32 Health and Safety Page 1-5 of 24 Issue 18: April 2010 Plasmalab and Ionfab 1.4.2 Oxford Instruments Plasma Technology Health and Safety Electromagnetic Radiation WARNING HAZARDOUS RF AND MICROWAVE EMISSIONS - EXPOSURE CAN CAUSE SEVERE INJURY OR BURNS Before operating the System On button, ensure that all RF and / or microwave shielding, flanges, retaining screws and connectors have been correctly fitted. 1.4.2.1 Parts of the system produce electromagnetic radiation from audio frequencies to 2.45 GHz. At all frequencies within this range there is a field strength level at which radiation can cause injury. Oxford Instruments Plasma Technology specifies limits for the Electric and Magnetic field strengths within the environment of the system. The system must be tested to ensure that radiation is within these limits, using suitably calibrated equipment. The tests must be carried out after maintenance involving RF shielding components, and routinely every three months. Refer to the instructions in the maintenance section of this manual. 1.4.2.2 Ensure that all waveguide components, flanges and cables are correctly fitted, secure and undamaged. View ports on Plasmalab systems and on certain Ionfab systems are fitted with a metal grid for shielding RF radiation. Replace this item only with the correct part, and ensure that the ports are correctly reassembled. If a metal grid is not fitted and there is any doubt about whether one should be fitted, you must check with Oxford Instruments Plasma Technology before proceeding. If the view port has been disassembled then tests must be carried out as described in sub-section 1.3.2.1 above. 1.4.2.3 Operating the equipment with any panels removed increases the risk of RF burns. Maintenance procedures, which require this, must only be performed by skilled persons who have access to a suitable field strength meter. 1.4.2.4 Removing panels or modifying the equipment may increase the radio interference emitted by the equipment above permitted levels. This may cause nearby equipment to operate unexpectedly. 1.4.2.5 If portable transmitting equipment, e.g. radio, cellular phone, etc., is used within two metres of the equipment, the equipment could operate unexpectedly. Removing panels or modifying the equipment may increase this risk. Issue 18: April 2010 Health and Safety Page 1-6 of 24 Printed:06 April 2010, 09:32 Health and Safety 1.4.3 Oxford Instruments Plasma Technology Plasmalab and Ionfab Light WARNING LASER RADIATION - EXPOSURE CAN CAUSE SEVERE EYE DAMAGE OR BURNS Before operating the system, ensure that all covers are fitted correctly. Ensure that for laser equipment, the manufacturer’s instructions have been read and fully understood. 1.4.3.1 Laser equipment when handled incorrectly or in a damaged condition can seriously damage eyesight. Read and follow the manufacturer's instructions carefully. Ensure that all covers supplied by Oxford Instruments Plasma Technology or by the manufacturer are correctly fitted before the equipment is powered up. WARNING ULTRA VIOLET RADIATION - EXPOSURE CAN CAUSE SEVERE EYE DAMAGE OR BURNS Ensure that all view ports are assembled correctly, and that any replacement filters are of the correct specification. 1.4.3.2 View ports on Plasmalab systems are fitted with a clear plastic UV filter (Perspex -VEclear-003). Replace this item only with the correct part, and ensure that the ports are correctly reassembled. If a plastic filter is not fitted, or if there is any doubt about the type of filter that is fitted, you must check with Oxford Instruments Plasma Technology before proceeding. 1.4.3.3 The customer should be aware of the fact that view ports are available in glass or quartz. Most view ports on Oxford Instruments Plasma Technology systems are glass, but quartz is used in certain applications. Quartz view ports allow much more UV light to pass through than glass does, and so present a greater hazard. They will require very careful shielding or filtering in ALL situations on Plasmalab and Ionfab systems. Be careful if the service history of the system is not fully known. It is possible that a glass view port has been changed for a quartz one. 1.4.3.4 UV light can also escape from other parts of the system, e.g. from ICP plasma sources, and downstream plasma discharge tubes. Discharge tubes of this type are often made of quartz, and so very careful shielding or filtering is needed. 1.4.3.5 UV light can produce ozone from ambient air. Detectable quantities are produced by ICP sources fitted with a quartz tube. Local extraction must be used in this case. Printed:06 April 2010, 09:32 Health and Safety Page 1-7 of 24 Issue 18: April 2010 Plasmalab and Ionfab 1.4.4 Oxford Instruments Plasma Technology Health and Safety High Temperature WARNING HOT SURFACES - CLOSE CONTACT CAN CAUSE SERIOUS INJURY AND BURNS Allow sufficient time for heated components (e.g. heated lower electrodes) to cool to room temperature before carrying out maintenance. 1.4.4.1 During operation of the system some components can become dangerously hot. Always allow time for these to cool to a safe temperature before handling them. Components that become hot include electrically heated chambers, chamber liners, lower electrodes, specimen holders and halogen lamps. Items illuminated by halogen lamps can also reach very high temperatures. Note that items such as neutralizers, ion sources, and ICP sources operate at high temperatures. 1.4.5 Low Temperature WARNING COLD OBJECTS - CONTACT CAN CAUSE SERIOUS INJURY TO THE SKIN AND CAN CAUSE THE SKIN TO ADHERE TO THE COLD OBJECT Allow sufficient time for cold components (e.g. cryogenically cooled lower electrodes) to return to room temperature before carrying out maintenance. If cold objects must be handled, ensure that suitable protective clothing is worn. 1.4.5.1 Beware of the extreme cold produced in refrigerated or cryogenically cooled systems. Contact with the skin by components at these temperatures can produce 'burns'. Allow the cooling system to reach a safe temperature before attempting any maintenance tasks. Components that become cold include cryogenically cooled lower electrodes, cryogenic pumps and components that use liquid nitrogen. Issue 18: April 2010 Health and Safety Page 1-8 of 24 Printed:06 April 2010, 09:32 Health and Safety 1.4.6 Oxford Instruments Plasma Technology Plasmalab and Ionfab Gases Gases are used in the system for venting and purging (N2), for aiding heat transfer (e.g. helium) and for establishing the process environment (i.e. process gases). Process gases used in the system are specified dependent on the process for which the system is designed. The hazards presented by gases fall into one or more of the following categories: a) b) c) d) e) Asphyxiant - replaces Oxygen causing respiration difficulties and eventually death. Flammable - can ignite in the presence of heat or arcing. Pyrophoric – can ignite spontaneously on contact with air. Toxic Corrosive The following WARNINGS may be applicable to the gases used in your system; it is your responsibility to be aware of the hazards and take the necessary precautions. Contact your gas supplier for full details. WARNING FLAMMABLE GASES - FLAMMABLE MATERIAL CAN IGNITE IN THE PRESENCE OF HEAT OR ARCING, CAUSING SEVERE INJURY. After a processing run, the process chamber must be subjected to at least one vent cycle before any maintenance work is carried out. Ensure that the gas lines have been purged before removing or loosening components. Wear personal protective equipment as necessary. WARNING TOXIC GASES - CONTACT CAN CAUSE DEATH OR SERIOUS INJURY After a processing run, the process chamber must be subjected to at least two vent cycles before any maintenance work is carried out. Ensure that the gas lines have been purged before removing or loosening components. Wear personal protective equipment as necessary. WARNING CORROSIVE GASES - CONTACT CAN CAUSE SERIOUS INJURY After a processing run, the process chamber must be subjected to at least two vent cycles before any maintenance work is carried out. Ensure that the gas lines have been purged before removing or loosening components. Wear personal protective equipment as necessary. WARNING PLASMALAB and IONFAB SYSTEMS DO NOT PROVIDE ANY EXCESS PRESSURE REGULATION / PROTECTION FOR PROCESS GASES. It is the customer’s responsibility to ensure that suitable regulation / protection, in accordance with all applicable standards, is installed and correctly maintained external to the system. 1.4.6.1 Printed:06 April 2010, 09:32 The effluents of all Plasmalab and Ionfab systems should be considered toxic. Health and Safety Page 1-9 of 24 Issue 18: April 2010 Plasmalab and Ionfab Oxford Instruments Plasma Technology Health and Safety 1.4.6.2 Ensure that effluents are extracted into a safe disposal system. The bore of the extraction pipework must be of a greater internal bore than the pump outlet. Exhaust lines carrying hazardous gases must not be used for any other purpose. 1.4.6.3 Rotary and turbomolecular pumps MUST always be nitrogen ballasted, if this feature is provided on the system. For Plasmalab deposition systems, the oil box of the rotary pump should also be purged. If a flow switch and alarm are needed on any of these lines to ensure safe operation, they must be installed and regularly maintained. 1.4.6.4 If toxic, flammable or corrosive gases are to be used, the entire system must be installed in accordance with best practice for the semiconductor production industry. If no local guidelines are available, the regulations contained in U.S. documents UBC 9.911 (1985) and UFC 51 are recommended reading. Guidance is also contained in ‘The Safe Storage, Handling and Use of Liquid Gases in the Micro-Electronics Industry’ BCGA/ECIF COP CP18, ISBN 0260 - 4809, available from BCGA or ECIF. 1.4.6.5 If toxic, flammable or corrosive gases are to be used, the entire system must be situated in a purged or extracted environment with suitable gas detectors. 1.4.6.6 Gas pods supplied by OIPT incorporate a 100mm diameter extraction collar. If toxic, flammable or corrosive gases are used, extraction facilities must be connected to this collar. Purge gas extracted from the gas pod should be monitored by a suitable gas detector to give advance warning of any leakage. 1.4.6.7 Ensure that the purge gas extraction system can withstand corrosion or combustion if necessary. 1.4.6.8 Make regular checks on the vacuum integrity of the gas lines to reduce the risk of sudden leaks. 1.4.6.9 Ensure that the system is completely purged BEFORE maintenance is started and suitably leak tested AFTER maintenance is completed. 1.4.6.10 As far as practicable, shut down gas lines when not in use. 1.4.6.11 Pumps must always be operated in accordance with the manufacturers' manuals and with Oxford Instruments Plasma Technology engineers' training courses. Pumps, when fitted with a nitrogen purging facility, must always be purged during a processing run and for a suitable period after a processing run has finished. 1.4.6.12 Where gas detectors are fitted, their responses should be verified every two weeks (refer to the manufacturer's manuals). 1.4.6.13 Compressed gases can penetrate the skin and enter the blood stream. Avoid direct contact with compressed gases. Issue 18: April 2010 Health and Safety Page 1-10 of 24 Printed:06 April 2010, 09:32 Health and Safety 1.4.7 Oxford Instruments Plasma Technology Plasmalab and Ionfab Precursor materials Precursors used in the system are specified dependent on the process for which the system is designed. The hazards presented by precursors fall into one or more of the following categories: a) b) c) d) e) Asphyxiant - replaces oxygen causing respiration difficulties and eventually death. Flammable - can ignite in the presence of heat or arcing. Pyrophoric – can ignite spontaneously on contact with air. Toxic Corrosive The following WARNINGS may be applicable to the precursors used in your system; it is your responsibility to be aware of the hazards and take the necessary precautions. Contact your precursor supplier for full details. WARNING FLAMMABLE PRECURSORS - FLAMMABLE MATERIAL CAN IGNITE IN THE PRESENCE OF HEAT OR ARCING, CAUSING SEVERE INJURY. After a processing run, the process chamber must be subjected to at least one vent cycle before any maintenance work is carried out. Ensure that the precursor lines have been purged before removing or loosening components. Wear personal protective equipment as necessary. WARNING TOXIC PRECURSORS - CONTACT CAN CAUSE DEATH OR SERIOUS INJURY After a processing run, the process chamber must be subjected to at least two vent cycles before any maintenance work is carried out. Ensure that the precursor lines have been purged before removing or loosening components. Wear personal protective equipment as necessary. WARNING CORROSIVE PRECURSORS - CONTACT CAN CAUSE SERIOUS INJURY After a processing run, the process chamber must be subjected to at least two vent cycles before any maintenance work is carried out. Ensure that the precursor lines have been purged before removing or loosening components. Wear personal protective equipment as necessary. 1.4.7.1 Perform a risk assessment and wear suitable personal protective equipment when working on pre-cursor systems. 1.4.7.2 The effluents of all OpAL and FlexAL systems should be considered toxic. 1.4.7.3 Ensure that effluents are extracted into a safe disposal system. The bore of the extraction pipework must be of a greater internal bore than the pump outlet. Exhaust lines carrying hazardous gases must not be used for any other purpose. 1.4.7.4 Rotary and turbomolecular pumps MUST always be nitrogen ballasted, if this feature is provided on the system. If a flow switch and alarm are needed on any of these lines to ensure safe operation, they must be installed and regularly maintained. Printed:06 April 2010, 09:32 Health and Safety Page 1-11 of 24 Issue 18: April 2010 Plasmalab and Ionfab 1.4.7.5 Oxford Instruments Plasma Technology Health and Safety If toxic, flammable or corrosive precursors are to be used, the entire system must be installed in accordance with best practice for the semiconductor production industry. If no local guidelines are available, the regulations contained in U.S. documents UBC 9.911 (1985) and UFC 51 are recommended reading. Guidance is also contained in ‘The Safe Storage, Handling and Use of Liquid Gases in the Micro-Electronics Industry’ BCGA/ECIF COP CP18, ISBN 0260 - 4809, available from BCGA or ECIF. 1.4.7.6 If toxic, flammable or corrosive precursors are to be used, the entire system must be situated in a purged or extracted environment with suitable gas detectors. 1.4.7.7 Precursor cabinets supplied by OIPT incorporate a 100mm diameter extraction collar. If toxic, flammable or corrosive gases are used, extraction facilities must be connected to this collar. Purge gas extracted from the precursor cabinet should be monitored by a suitable gas detector to give advance warning of any leakage. 1.4.7.8 Ensure that the purge gas extraction system can withstand corrosion or combustion if necessary. 1.4.7.9 Make regular checks on the vacuum integrity of the precursor lines to reduce the risk of sudden leaks. 1.4.7.10 Ensure that the system is completely purged BEFORE maintenance is started and suitably leak tested AFTER maintenance is completed. 1.4.7.11 As far as practicable, shut down precursor lines when not in use. 1.4.7.12 Pumps must always be operated in accordance with the manufacturers' manuals and with Oxford Instruments Plasma Technology engineers' training courses. Pumps, when fitted with a nitrogen purging facility, must always be purged during a processing run and for a suitable period after a processing run has finished. 1.4.8 1.4.7.13 Where gas detectors are fitted, their responses should be verified every two weeks (refer to the manufacturer’s manuals). 1.4.7.14 Do not fit incompatible precursors on the same manifold. Consider the precursors that are already fitted, or that have been fitted previously, before installing a new precursor. 1.4.7.15 Do not overheat precursors as dangerously high pressures may be produced. Never heat precursor pots containing water. 1.4.7.16 Never use glass precursor pots. Materials 1.4.8.1 Materials used in the construction of the system are in a safe state when installed. However, fluorine-containing materials may be used as O-rings (e.g. Viton™ materials), as electrical insulation or as electrical isolation block (e.g. Teflon ™ or other tfe or ptfe material) or as lubricating grease or fluid (e.g. Fomblin™, Krytox™ or the NCI™ range from Leybold) If any of these materials are over-heated, hazardous materials are created that can result in the loss of a limb or death. 1.4.8.2 Very great care must be taken to ensure that Perfluoro-elastomer (Viton™) O-rings are not exposed to high temperatures. If overheated, decomposition takes place, producing a highly acidic residue containing hydrofluoric acid (HF). If there is any evidence that a Viton™ O-ring could have been subjected to temperatures in excess of 300°C the following actions must be carried out: Issue 18: April 2010 Health and Safety Page 1-12 of 24 Printed:06 April 2010, 09:32 Health and Safety 1.4.8.3 Oxford Instruments Plasma Technology Plasmalab and Ionfab (a) Consult a competent authority regarding the following items (b) to (e). (b) Wearing suitable protective clothing, remove the O-ring and dispose of it in accordance with local Health and Safety regulations. (c) Wearing suitable protective clothing, thoroughly clean the contaminated area, disposing of any residue in accordance with local Health and Safety regulations. (d) Fit a new O-ring. (e) Investigate the cause of the overheating and review operating procedures and control systems to prevent a recurrence. Teflon™ and other tfe materials. Very great care must be taken to ensure that Teflon™ and other tfe or ptfe materials are not exposed to high temperatures. If overheated, decomposition takes place, producing volatile fluorine containing components. If there is any evidence that any Teflon™ or other tfe or ptfe material could have been subjected to temperatures in excess of 300°C the following actions must be carried out: (a) Consult a competent authority regarding the following items (b) to (e). (b) Wearing suitable protective clothing, remove the decomposed material and dispose of it in accordance with local Health and Safety regulations. (c) Wearing suitable protective clothing, thoroughly clean the contaminated area, disposing of any residue in accordance with local Health and Safety regulations. (d) Fit a new component. (e) Investigate the cause of the overheating and review operating procedures and control systems to prevent a recurrence. Note that swarf and other pieces of material for disposal must be disposed of with great care and must be kept well away from fire, from cigarettes and other smoking materials. 1.4.8.4 PFPE lubricating fluids. Very great care must be taken to ensure that PFPE fluids (e.g. Fomblin™, Krytox™ NCI™ etc) are not exposed to high temperatures. If overheated, decomposition takes place, producing volatile fluorine containing components. If there is any evidence that any PFPE lubricating fluids could have been subjected to temperatures in excess of 300°C the following actions must be carried out: Printed:06 April 2010, 09:32 (a) Consult a competent authority regarding the following items (b) to (e). (b) Wearing suitable protective clothing, remove the decomposed material and dispose of it in accordance with local Health and Safety regulations. (c) Wearing suitable protective clothing, thoroughly clean the contaminated area, disposing of any residue in accordance with local Health and Safety regulations. (d) Replace with fresh grease or fluid. (e) Investigate the cause of the overheating and review operating procedures and control systems to prevent a recurrence. Health and Safety Page 1-13 of 24 Issue 18: April 2010 Plasmalab and Ionfab Oxford Instruments Plasma Technology Health and Safety Note that all PFPE material, including spillages of fresh fluid must be disposed of with great care and must be kept well away from fire, from cigarettes and other smoking materials. 1.4.8.5 Maintenance work inside the vacuum chamber, particularly cleaning operations, can generate dust. This dust may be toxic, corrosive or carcinogenic, depending on the process that is being run. Wear appropriate personal protective equipment to avoid dust contacting the skin, eyes and other parts of the body. Take appropriate precautions to contain any dust that may be generated to prevent it spreading. 1.4.8.6 Some processes may generate nanoparticles; particles whose sizes range from 1 to 100 nm. The effect of these particles on the health and safety of personnel and the environment is currently unknown. Follow local and national safety procedures to alleviate any risk. If a new process is considered and unfamiliar materials may result, please consult Oxford Instruments Plasma Technology for further health and safety information. 1.4.8.7 Gases exhausted from rotary vacuum pumps may contain oil vapour which is carcinogenic. Always fit a suitable oil mist filter to the exhaust ports of such pumps. 1.4.8.8 Recirculating chillers using silicone oil as a heat transfer fluid and operating at temperatures above ambient temperature may produce vapour around the chiller bath. Appropriate extraction should be considered to prevent inhalation of the vapour by personnel. 1.4.8.9 Do not use silicone oil (such as Thermal H5S) as the heat transfer medium in heater/chiller units that connect to electrically heated tables. Over-heating silicone oil can produce hazardous by-products. A glycol based heat transfer medium (such as Thermal G) should be used in heater/chiller units connected to electrically heated tables. Issue 18: April 2010 Health and Safety Page 1-14 of 24 Printed:06 April 2010, 09:32 Health and Safety 1.4.9 Oxford Instruments Plasma Technology Plasmalab and Ionfab Process Chemicals WARNING SOME MATERIALS USED IN AND RESULTING FROM DEPOSITION AND ETCHING PROCESS CAN BE DANGEROUSLY TOXIC; CONTACT CAN CAUSE SERIOUS INJURY NANOPARTICLES HAVE AN UNKNOW EFFECT ON HEALTH AND SAFETY. TAKE GREAT CARE IF NANOPARTICLES MAY BE PRESENT. Before working on the process chamber or its associated components, consult a competent authority to ascertain the nature of any coatings. Wear appropriate protective clothing, e.g. hand and eye protection, as necessary. WARNING COMPOUNDS USED FOR CLEANING MAY BE FLAMMABLE – FLAMMABLE MATERIAL CAN IGNITE IN THE PRESENCE OF HEAT OR ARCING, CAUSING SEVERE INJURY. Follow local and national health and safety regulations when using flammable cleaning compounds. Wear personal protective equipment as necessary. 1.4.10 1.4.9.1 Some compounds used in and resulting from deposition and etching processes can be dangerously toxic. These compounds can be deposited as coatings on the inside of the chamber, pipework etc. Therefore, suitable hand and eye protection must be used. 1.4.9.2 Cleaning fluids, and the gases given off from them, may be toxic or flammable. Only use them in a well ventilated area and avoid ingestion. Follow local and national health and safety regulations. 1.4.9.3 Always use suitable eye and skin protection when handling vacuum pumps and mineral or synthetic oil. Apart from the hazards described in sub-section 1.3.7, used oils and pumps may be contaminated with dangerous chemicals. 1.4.9.4 Study all relevant Material Safety Data Sheets (MSDS), or their equivalents, before carrying out any maintenance work. 1.4.9.5 Nanoparticles (particles with a size range between 1 and 100 nm) may be deposited as coatings on vacuum components. These particles may be toxic or have an unknown effect on the health and safety of personnel and the environment. Assume all such materials are hazardous and wear appropriate personal protective equipment. If a new process generates an unfamiliar material, consult Oxford Instruments Plasma Technology for further health and safety information. Vacuum 1.4.10.1 Do not enter large vacuum chambers. 1.4.10.2 Process chambers and load locks under vacuum represent stored energy. If released accidentally, this can cause injury. These spaces must be vented to atmospheric pressure before the system is powered down in preparation for maintenance. 1.4.10.3 Handle vacuum capacitors carefully; if knocked or dropped they can implode causing serious injury. 1.4.10.4 All view ports that are greater than 100mm diameter must be fitted with a clear plastic implosion eye-guard on the outside. Replace this item only with the correct part, and ensure that the ports are correctly reassembled. Printed:06 April 2010, 09:32 Health and Safety Page 1-15 of 24 Issue 18: April 2010 Plasmalab and Ionfab Oxford Instruments Plasma Technology Health and Safety If a plastic implosion eye-guard is not fitted, or if there is any doubt about the type of eye-guard that is fitted, you must check with Oxford Instruments Plasma Technology before proceeding. 1.4.10.5 1.4.11 Take care when opening vented vacuum vessels in case of excess pressure inside. Compressed air 1.4.11.1 1.4.11.2 Retained air pressures can be at dangerous levels. Never put your hand or any other obstruction in the path of a slit or gate valve blade unless it has been made safe. Release the pressure as follows: a) Power down the system by opening the main circuit breaker. b) Disconnect the pneumatic supply pipes from the system. Operate and padlock the lock-out valves (if fitted) on the gate valves. This will release all air pressure from both sides of the valve actuators. If lock-out valves are not fitted, disconnect the supply pipe at the point where it connects to the valve. WARNING AUTOMATICALLY CONTROLLED COMPONENTS CAN MOVE WITHOUT WARNING CAUSING SERIOUS INJURY Maintenance on automatically controlled equipment must only be carried out by fully trained personnel who are aware of the risks involved. WARNING PLASMALAB and IONFAB SYSTEMS DO NOT PROVIDE ANY EXCESS PRESSURE REGULATION / PROTECTION FOR COMPRESSED AIR SUPPLIES. It is the customer’s responsibility to ensure that suitable regulation / protection, in accordance with all applicable standards, is installed and correctly maintained external to the system. 1.4.12 1.4.11.3 Before undertaking any work on the system, you must ensure that all compressed air is released. If lock-out valves are fitted, they must be operated and locked. See the section on powering down the system for more details. 1.4.11.4 Compressed air can penetrate the skin and enter the blood stream. Avoid direct contact with compressed air. Mechanical WARNING LIFTING HEAVY OBJECTS INCORRECTLY CAN CAUSE SEVERE INJURY When handling heavy system components such as the system unit or vacuum pumps, ensure that the appropriate lifting equipment, operated by fully trained personnel, is used. When heavy rack-mounted components are handled, ensure that the weight is safely distributed between sufficient personnel. 1.4.12.1 Injury can be caused by attempting to lift heavy components. Always ensure that suitable lifting equipment and assistance, if required, are available when removing or refitting heavy components, e.g. chamber lids, chamber doors or electrodes. 1.4.12.2 Be aware of the weight of racked units, e.g. power supplies. Do not attempt to remove heavy units from their racks unaided. Issue 18: April 2010 Health and Safety Page 1-16 of 24 Printed:06 April 2010, 09:32 Health and Safety 1.4.12.3 Oxford Instruments Plasma Technology Plasmalab and Ionfab Take care when moving heavy components. Ensure that they remain stable to avoid any risk of toppling. Use any support frames or stabilizers provided when moving, installing or decommissioning equipment. WARNING PINCH POINT - LIMBS, FINGERS ETC CAN BECOME TRAPPED RESULTING IN SEVERE INJURY. Ensure that all personnel are kept clear of pinch points, e.g. chamber doors, hoist mechanisms, variable height electrodes etc, while these components are moving. 1.4.12.4 Close chamber doors carefully; ensure that personnel vacate the vicinity of the door and its operating mechanism before it is closed to avoid trapped fingers etc. 1.4.12.5 Handle sprung components under compression or tension carefully, Take suitable precautions, including eye protection, before maintaining small sprung items. 1.4.12.6 Beware of machinery in motion such as robotic arms, substrate lifting mechanisms and shutters. Remember that machinery can start suddenly. Ensure that all safety guards are correctly fitted before use. 1.4.12.7 Beware of deposition layers under stress. Wear eye protection before cleaning surfaces which are coated with layers of material, as particles can be ejected with considerable force. 1.4.12.8 Handle embrittled wire, e.g. filaments, carefully. They can break and become embedded in the skin. 1.4.12.9 Some process chambers and loadlocks are fitted with a safety mechanism to lock the chamber in the open position. This mechanism may be integral to the gas spring design or it may be a separate locking pin arrangement. Ensure that the locking mechanism is engaged whenever the chamber is opened for any length of time, or if maintenance is being performed inside the chamber. 1.4.12.10 Wall mounted equipment, such as gas pods and LN2 auto changeover boxes, should be supported by bolts and frames that are rated to withstand four times the weight of the item being supported. 1.4.13 General 1.4.13.1 Ensure that local and national Health and Safety standards are studied and followed. It is the customer’s responsibility to carry out their own risk assessment and to develop a safe system of work. 1.4.13.2 Ensure that all personnel who operate this equipment are trained to use the equipment, and are alerted to the range of hazards present. 1.4.13.3 When working with the equipment, ensure that there are always at least two persons present. 1.4.13.4 If a tool is used to access or alter any part of the equipment, this is classed as a maintenance action. Persons performing maintenance tasks must be skilled and trained to know and avoid the hazards present. Before carrying out any maintenance work, read the relevant manuals supplied by manufacturers of proprietary components. 1.4.13.5 Ensure that the main electrical supply, compressed air, all other gases and the water supply are disconnected before starting maintenance work (Also see sub-section 1.3.9). 1.4.13.6 Consult Oxford Instruments Plasma Technology before making any alterations to the system or changing the process gases. Printed:06 April 2010, 09:32 Health and Safety Page 1-17 of 24 Issue 18: April 2010 Plasmalab and Ionfab Oxford Instruments Plasma Technology Health and Safety 1.4.13.7 Ensure that all personnel who may be expected to have access to the system during an emergency, such as firemen, paramedics etc. are familiar with the location of the main circuit breakers and valves. 1.4.13.8 Whenever any component is returned to Oxford Instruments Plasma Technology or to any of their agents, it must be accompanied by copies of the Goods Return Form (QCF 60). 1.4.13.9 Never smoke or eat in the 'clean room' or where gases are stored. In addition to the fire risks and particulate contamination presented by smoking, some chemicals when burnt generate carcinogenic or toxic compounds. 1.4.13.10 OIPT systems have been designed to be operated with all panels and covers in place. These panels form part of a barrier to protect the operator from exposure to hazards within the system. Note that some hazards are either present continuously, but some hazards only appear in a fault condition. The panels should also be in place to ensure that the system meets electromagnetic compatibility requirements. OIPT do not recommend operating the system unless all panels and covers are fixed in place. 1.5 Warning and advisory labels During manufacture, warning and advisory labels are attached to the system to indicate potential hazards and components, which should not be operated or maintained without first reading the relevant manual. Typical labels and their meanings are as follows: Label OIPT Part Number 94-G-SUN-ART-055 94-G-SUN-ART-056 Meaning Danger of physical injury from RF radiation or from touching components within the labelled equipment. Location RF shielding. RF generator cover. RF coaxial cable. 94-G-SUN-ART-054 Danger of trapping limbs, fingers etc. in the labelled equipment. Upper chamber. Upper loadlock. Danger of physical injury from toppling. Precursor cabinet. Warns of physical injury from toppling system, if frame not fitted. NGP-B front frame below loadlock. Warns of physical injury from lifting heavy panel. Crystal Flex exterior panels. NGP-B loadlock lower panel. Warns of physical injury from lifting heavy loadlock. NGP-B Loadlock. 94-G-SUN-ART-053 94-G-G-SUN-ART084 94-G-SUN-ART-061 94-G-G-SUN-ART085 Issue 18: April 2010 Health and Safety Page 1-18 of 24 Printed:06 April 2010, 09:32 Health and Safety Label Oxford Instruments Plasma Technology OIPT Part Number 94-G-SUN-ART-073 Plasmalab and Ionfab Meaning Danger of physical injury (particularly for persons with pacemakers fitted) from the strong magnetic field generated by the labelled equipment. Caution, hot surface. 94-G-SUN-ART-051 94-G-G-SUN-ART088 94-G-SUN-ART-059 94-G-SUN-ART-060 94-G-SUN-ART-058 94-G-SUN-ART-080 Printed:06 April 2010, 09:32 Chamber walls. Loadlock walls. Precursor cabinet. Caution, very hot surface. Crystal Flex furnace entrance. Cold surface. Danger of physical injury, from the labelled equipment. Liquid nitrogen inlet. Liquid nitrogen outlet. 94-G-SUN-ART-075 94-G-SUN-ART-079 Location Magnetic spacer outer cover. Warns of potential explosion hazard if instructions are not followed Read the relevant manual before proceeding to operate or maintain the labelled equipment. Danger of physical injury from RF and UV radiation from the labelled components. Danger of physical injury from laser beam generated by the labelled components. Health and Safety Page 1-19 of 24 System services panel Turbo pump controller outer cover. Recirculation chiller controller. Vacuum gauge outer cover. Three phase filter outer cover. Vacuum valve outer cover. MFC outer cover. RF / DC generator outer cover. Foreline pump outer cover. Power box external and internal panels. Chamber viewport. Upper chamber. Cassette box. Wafer handler chamber. Issue 18: April 2010 Plasmalab and Ionfab Label Oxford Instruments Plasma Technology OIPT Part Number WARNING System vent gas: Nitrogen Health and Safety Meaning Warns of gas type and maximum pressure, connected to the system. Location Gas pod. Warns of gas type and maximum pressure, connected to the system. Gas pod. Warns of gas type and maximum pressure, connected to the system. Gas pod. Warns of fluid type and maximum pressure, connected to the system Gas pod. Max inlet pressure : 5 Bar WARNING Gas type: Air (c ompressed) Max inlet pressure : 9 Bar WARNING System proc ess gas : Max inlet pressure : 5 Bar WARNING Fluid type: Water (c ooling) Max inlet pressure : 4.2 Bar Caution, refer to accompanying documents. ISO 3864, No. B.3.1 On (Supply) IEC 417, No.5007 Off (Supply) IEC 417, No.5008 Earth (ground) IEC 417, No.5017 94-G-G-SUN-ART091 Identifies the location of electrical safety ground. Power box. Earth studs around the system. Three phase alternating Current IEC 617-2 No. 020206 Three phase alternating Current with Neutral wire. Issue 18: April 2010 Health and Safety Page 1-20 of 24 Printed:06 April 2010, 09:32 Health and Safety Label Oxford Instruments Plasma Technology OIPT Part Number 94-G-SUN-ART-052 94-G-SUN-ART-057 Warns of hazardous voltage up to 208 V underneath the cover. 94-G-SUN-ART-067 Warns of hazardous voltage up to 240 V underneath the cover. 94-G-SUN-ART-068 Warns of hazardous voltage up to 380 V underneath the cover. 94-G-SUN-ART-062 Warns of hazardous voltage up to 415 V underneath the cover. 94-G-SUN-ART-072 Warns of a hazardous DC voltage underneath the cover. 94-G-SUN-ART-078 Printed:06 April 2010, 09:32 Meaning Warns of a hazardous voltage underneath the cover. 94-G-SUN-ART-063 94-G-SUN-ART-074 Plasmalab and Ionfab Warns that asphyxiant gas may be present. Warns of a possible explosion risk if any container of water is fitted to the cabinet. Health and Safety Page 1-21 of 24 Location Turbo pump controller outer cover. Recirculation chiller controller. Three phase filter outer cover. Vacuum valve outer cover. RF / DC generator outer cover. Foreline pump outer cover. Power box outer cover and inside box. 700°C table DC power supply back cover. System internal panel. Heater power cable. DC magnetron distribution outer cover. DC magnetron shield cover. Crystal Flex glove box end panel. Precursor cabinet inside door. Issue 18: April 2010 Plasmalab and Ionfab Label Oxford Instruments Plasma Technology OIPT Part Number Health and Safety Meaning Warns of the risks of mixing incompatible precursors. Location Precursor cabinet inside and outside cabinet Warns of the risks of exposure to hazardous fluids. Precursor cabinet exterior panel. Gas pod outer door. Warns of possible exposure to hazardous gases. Close coupled gas pod outer cover G4-G-SUN-ART-071 Warns of the risks associated with a high leakage current. Power box mains cable. 94-G-SUN-ART-064 Warns of the risks associated with fluid under pressure. Gas pod. System services panel. Warns to stay within fluid usable temperature range. Recirculating chiller control panel Warns of possible equipment damage that could be caused by unplugging the motor without turning off the electrical power supply. Warns that there is electrical equipment mounted on the reverse of the panel that the label is affixed to. PlasmaLab 133 load lock drive motor enclosure. 94-G-SUN-ART-077 94-G-SUN-ART-065 94-G-G-SUN-ART086 94-G-G-SUN-ART088 94-G-SUN-ART-082 94-G-SUN-ART-066 Issue 18: April 2010 Health and Safety Page 1-22 of 24 System exterior panel. Printed:06 April 2010, 09:32 Health and Safety Label Oxford Instruments Plasma Technology OIPT Part Number Location Foreline pump. 94-G-SUN-ART-076 Meaning Warns that the pump has been drained of oil and that the pump should not be operated. 94-G-SUN-ART-070 Warns that a filter gasket must be fitted in the indicated location. Gas pod interior panel. 94-G-SUN-ART-083 94-G-G-SUN-ART090 Printed:06 April 2010, 09:32 Plasmalab and Ionfab General warning label where a specific warning label won’t fit. Any hazard. Identifies recommended location for fork lifting. System frame. Health and Safety Page 1-23 of 24 Issue 18: April 2010 Plasmalab and Ionfab Oxford Instruments Plasma Technology Health and Safety NOTES: Issue 18: April 2010 Health and Safety Page 1-24 of 24 Printed:06 April 2010, 09:32 System Manual O lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i pÉêîáÅÉë=E^iaF= 2 Services (ALD) ............................................................................................................ 2-1 2.1 Introduction....................................................................................................... 2-2 2.2 Services .............................................................................................................. 2-3 2.3 Distribution and use of Nitrogen vent............................................................ 2-3 2.3.1 Check valve .................................................................................................................2-3 Fig 2-1: G-SP71B29663 OpAL Services flow diagram....................................................................2-4 Fig 2-2: Hoist pneumatic circuit ....................................................................................................2-4 Printed: 5-Oct-07, 9:30 Services Page 2-1 of 4 Issue 1: August 07 lé^i OKN lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual fåíêçÇìÅíáçå= The services requirements for lé^i are given in two appendices to this manual: Appendix S Services Specifications for lé^i Systems. This document gives generic information and mandatory requirements for all services. Appendix IDS lé^i Installation Data Sheets. This document gives the information necessary to prepare the environment for the lé^i. Services information includes electrical power consumption and cooling water flow rates. References are made to the relevant mandatory services requirements, listed in ‘Services Specifications for lé^i Systems’ (see Appendix S). A Services flow diagram of the lé^i=system is given in drawing G-SP71B29663. This drawing is located in Volume 2 of this manual. An Illustration of this drawing is also given at the rear of this section, but be aware that this may not be up-to-date. Issue 1: August 07 Services Page 2-2 of 4 Printed: 5-Oct-07, 9:30 System Manual OKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i pÉêîáÅÉë= For details of the services required, services panel, etc, refer to Appendix IDS. OKP aáëíêáÄìíáçå=~åÇ=ìëÉ=çÑ=káíêçÖÉå=îÉåí== Nitrogen (N2)is supplied to the system via the services panel to allow the process chamber and foreline to be filled during system venting. The N2 distribution circuit is shown in the services flow diagram; see the following paragraph. Services flow diagrams of the lé^i=system is given in drawing G-SP71B29663. This drawing is located in Volume 2 of this manual. Illustrations of this drawing is also given at the rear of this Section. Nitrogen enters the system at the services panel through a ¼” Swagelok bulkhead connector. It is then fed to a regulator and pressure gauge (located behind a panel to prevent unauthorized adjustment) via a stainless steel pipe. The outlet pressure of the regulator can be manually adjusted. To set up the outlet pressure of the regulator, refer to ‘Operator Adjustments’ in Section 5. The outlet from the regulator is connected to: OKPKN a) A check valve (over-pressure relief valve). b) The process chamber vent line. `ÜÉÅâ=î~äîÉ= The check valve is installed to limit the maximum pressure that the regulator can supply. The valve is normally closed and will open at pressures above 5 psi. The outlet of the check valve is fed to the air out connector on the services panel to allow any excess nitrogen to be piped out of the cleanroom if required. WARNING IN A NORMALLY VENTED ROOM, THERE IS A LOW RISK OF ASPHYXIA DUE TO VENT GAS DISPLACING AIR. USERS SHOULD MAKE THEIR OWN RISK ASSESSMENT. DO NOT REMOVE THE CHECK VALVE OR CAP THE AIR OUT CONNECTOR; THIS WOULD COMPROMISE A SYSTEM SAFETY FEATURE. Process chamber vent line The process chamber vent line supplies nitrogen to the process chamber during the venting sequence via a restrictor and chamber vent valve. The chamber vent valve is pneumatically controlled by the system software. Printed: 5-Oct-07, 9:30 Services Page 2-3 of 4 Issue 1: August 07 lé^i lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual Fig 2-1: G-SP71B29663 OpAL Services flow diagram Fig 2-2: Hoist pneumatic circuit Issue 1: August 07 Services Page 2-4 of 4 Printed: 5-Oct-07, 9:30 System Manual 3 Oxford Instruments Plasma Technology OpAL Description 3 Description ...................................................................................................... 3-1 3.1 Introduction .............................................................................................. 3-3 3.2 System component locations...................................................................... 3-4 3.2.1 Console/base unit mechanical assembly ..................................................3-4 3.2.2 System views ...........................................................................................3-5 3.3 Hoist assembly ........................................................................................ 3-10 3.4 Control system ........................................................................................ 3-13 3.4.1 PC 2000 Hardware and software with licence .........................................3-13 3.4.2 System controller...................................................................................3-13 3.4.3 Control PLC (ALD valves)........................................................................3-15 3.4.4 Heating .................................................................................................3-16 3.4.4.1 Heater PLC ....................................................................................3-18 3.5 Controls and indicators............................................................................ 3-19 3.5.1 Base unit ...............................................................................................3-19 3.5.2 Precursor cabinet control panel..............................................................3-20 3.6 Emergency off and Interlock facilities....................................................... 3-20 3.6.1 Emergency off switch.............................................................................3-20 3.6.2 PLC interlock chain ................................................................................3-21 3.6.2.1 General description .......................................................................3-21 3.6.2.2 Gas pod interlocks ........................................................................3-23 3.6.2.3 Incompatible gases .......................................................................3-23 3.6.2.4 System Link Configuration Table ...................................................3-24 3.6.2.5 System LED Monitoring Table ........................................................3-24 3.7 Process chamber...................................................................................... 3-25 3.7.1 Upper chamber configuration for plasma ALD........................................3-26 3.7.2 Upper chamber configuration for thermal ALD .......................................3-28 3.7.3 Lower chamber configuration for plasma and thermal ALD ....................3-29 3.8 Lower Electrode ....................................................................................... 3-30 3.9 Vacuum system ....................................................................................... 3-31 3.10 System power supply ............................................................................... 3-32 3.11 94-100-6-46 ICP 65 source .................................................................... 3-33 3.12 Gas handling ........................................................................................... 3-35 3.12.1 Standard non-toxic gas line.................................................................3-35 3.12.2 Standard toxic gas line ........................................................................3-36 3.12.3 Internal gas pod ..................................................................................3-38 3.12.4 8-line gas pod.....................................................................................3-39 3.13 Precursor................................................................................................. 3-41 3.13.1 Water delivery......................................................................................3-43 3.13.2 Precursor Cabinet ................................................................................3-43 3.13.3 Precursor manufacturers......................................................................3-44 3.13.4 Bubbler connections ............................................................................3-45 3.13.5 Precursor consumption ........................................................................3-45 3.14 Glove box ................................................................................................ 3-46 3.15 Residual gas analyser............................................................................... 3-46 Fig 3-1: System frame..................................................................................................3-4 Fig 3-2: System front view ...........................................................................................3-5 Fig 3-3: System front view – front panel removed.........................................................3-6 Fig 3-4: Rear view of system ........................................................................................3-6 Fig 3-5: Console rear view (part) ..................................................................................3-7 Fig 3-6: Console left-hand side view............................................................................3-7 Fig 3-7: Console top front view....................................................................................3-8 Fig 3-8: Nitrogen vent line ...........................................................................................3-8 Fig 3-9: Console right-hand view.................................................................................3-9 Fig 3-10: Console right- hand view (door open)...........................................................3-9 Printed: 07 August 2009 07:42 Description Page 3-1 of 46 Issue 1: August 07 OpAL Oxford Instruments Plasma Technology System Manual Fig 3-11: Console left hand view showing hoist control valves ................................... 3-10 Fig 3-12: Typical hoist assembly ............................................................................... 3-11 Fig 3-13: Hoist pneumatic circuit .............................................................................. 3-12 Fig 3-14: Typical control system................................................................................ 3-14 Fig 3-15: Control plc ................................................................................................. 3-15 Fig 3-16: Temperature zones .................................................................................... 3-16 Fig 3-17: Heater Presets page.................................................................................... 3-17 Fig 3-18: Heater plc .................................................................................................. 3-18 Fig 3-19: Controls and indicators .............................................................................. 3-19 Fig 3-20: Precursor cabinet control panel .................................................................. 3-20 Fig 3-21: Gas pod interlock chain.............................................................................. 3-23 Fig 3-22: Typical ALD configuration .......................................................................... 3-25 Fig 3-23: Upper chamber configuration for plasma ALD ........................................... 3-27 Fig 3-24: Upper chamber configuration for thermal ALD........................................... 3-28 Fig 3-25: Lower chamber configuration for both thermal and plasma ALD ................ 3-29 Fig 3-26: Lower electrode.......................................................................................... 3-30 Fig 3-27: Vacuum system .......................................................................................... 3-31 Fig 3-28: Typical power distribution unit (cover removed) ......................................... 3-32 Fig 3-29: ICP 65 source ............................................................................................. 3-34 Fig 3-30: 94-81-9-11 Standard non-toxic gas line................................................... 3-36 Fig 3-31: 94-81-9-21 Standard toxic gas line .......................................................... 3-37 Fig 3-32: Typical 8-line gas pod................................................................................ 3-40 Fig 3-33: Precursor delivery layout in OpAL ............................................................... 3-42 Fig 3-34: Precursor arrangement inside cabinet......................................................... 3-43 Fig 3-35: Requirements for connecting bubblers ....................................................... 3-45 Fig 3-36: Glove box................................................................................................... 3-46 Table 3-1: Temperature zones .................................................................................. 3-16 Table 3-2: RF enable interlock chain details............................................................... 3-22 Table 3-3: Incompatible gases................................................................................... 3-23 Table 3-4: System link configuration ......................................................................... 3-24 Table 3-5: System LED monitoring............................................................................. 3-24 Table 3-6: Precursor manufacturers .......................................................................... 3-44 Table 3-7: Recommended precursor quantities.......................................................... 3-45 Issue 1: August 07 Description Page 3-2 of 46 Printed: 07 August 2009 07:42 System Manual 3.1 Oxford Instruments Plasma Technology OpAL Introduction The OpAL system is an Atomic Layer Deposition (ALD) system, which can be configured to carry out thermal (ALD) and plasma enhanced (PEALD). A large range of options is available to precisely tailor the system to the customer's requirements. The OpAL system is supplied in a two-console configuration sharing various system components. A stainless steel cabinet with removable access panels encloses the mechanical and electronic components of the system and provides a support for the processing chamber. Pumps such as the rotary vane pump are mounted separately from the main console cabinet. Precursors are housed inside an extractable enclosure bolted to the main console. The upper chamber and integral inductively coupled plasma components are raised and rotated clear of the chamber base and substrate table by a pneumatic hoist mechanism. This provides access to the table for loading and removal of substrates. The hoist is, for safety considerations, operated by pressing two buttons simultaneously. The lower aluminium chamber is sited on PEEK standoffs from the frame. The CM gauge and N2 vent are fitted to the pumping elbow which is fitted to the lower chamber. Process gases including ALD precursors are supplied to the substrate via channels in the two halves of the chamber. The substrate table is made of aluminium for temperatures up to 400ºC. Printed: 07 August 2009 07:42 Description Page 3-3 of 46 Issue 1: August 07 OpAL 3.2 Oxford Instruments Plasma Technology System Manual System component locations This sub-section contains illustrations of the OpAL console showing the locations of major system components. 3.2.1 Console/base unit mechanical assembly The system console/base unit is shown in Fig 3-1 (based on drawing G-MA71A30090). The unit comprises two major frames: Main frame – houses all system components, e.g. services panel, power box, control panel, etc. Precursor unit frame – houses all precursor components, e.g. control panel, valves, pipework, etc. Services panel Main frame Precursor unit frame Fig 3-1: System frame Issue 1: August 07 Description Page 3-4 of 46 Printed: 07 August 2009 07:42 System Manual 3.2.2 Oxford Instruments Plasma Technology OpAL System views The following illustrations show various views of the system. Heat shield Process Chamber Control panel Precursor Cabinet EMO Precursor bypass valves control Fig 3-2: System front view Printed: 07 August 2009 07:42 Description Page 3-5 of 46 Issue 1: August 07 OpAL System Manual Oxford Instruments Plasma Technology Hoist PLC valve control module PLC temperature control module Relays Fig 3-3: System front view – front panel removed Pumping port Panel for mounting internal CAN (not shown) Extraction port Services panel (Gas, water ,nitrogen, compressed air, connectors) Arcnet serial communications connector for PC Power box Fig 3-4: Rear view of system Issue 1: August 07 Description Page 3-6 of 46 Printed: 07 August 2009 07:42 System Manual Oxford Instruments Plasma Technology Lower electrode support flange OpAL Water delivery ALD valves heater jacket VAC stat Hoist Gate Valve Pumping pipework ALD valve Water flow switch Purge and Bubbler MFC Internal CAN module N2 ven t valve Fig 3-5: Console rear view (part) Gate valve Pumping port Water vapour delivery ALD valves heated Extraction port Water pot Purge and bubbler MFC's Hoist PLC Interface PLC Power box Fig 3-6: Console left-hand side view Printed: 07 August 2009 07:42 Description Page 3-7 of 46 Issue 1: August 07 OpAL System Manual Oxford Instruments Plasma Technology Dual valve heater jacket On/Off button Hoist N2 vent supply Water pot Fig 3-7: Console top front view Fig 3-8: Nitrogen vent line Issue 1: August 07 Description Page 3-8 of 46 Printed: 07 August 2009 07:42 System Manual Oxford Instruments Plasma Technology OpAL ALD valves mounted behind panel Precursor cabinet door Fig 3-9: Console right-hand view ALD valves Precursor 2 Precursor 4 Precursor 3 Fig 3-10: Console right- hand view (door open) Printed: 07 August 2009 07:42 Description Page 3-9 of 46 Issue 1: August 07 OpAL Oxford Instruments Plasma Technology System Manual Hoist control valves Interface PCB Fig 3-11: Console left hand view showing hoist control valves 3.3 Hoist assembly The hoist assembly, shown in Fig 3-12, raises and rotates the upper chamber to provide access to the lower chamber and table for wafer handling. The hoist’s pneumatic circuit is shown in Fig 3-13. Issue 1: August 07 Description Page 3-10 of 46 Printed: 07 August 2009 07:42 System Manual Oxford Instruments Plasma Technology OpAL Hoist tube Hoist block Cam tube assembly Pneumatic cylinder Thrust bearing Rod end Fig 3-12: Typical hoist assembly Printed: 07 August 2009 07:42 Description Page 3-11 of 46 Issue 1: August 07 OpAL Oxford Instruments Plasma Technology System Manual Fig 3-13: Hoist pneumatic circuit Issue 1: August 07 Description Page 3-12 of 46 Printed: 07 August 2009 07:42 System Manual 3.4 Oxford Instruments Plasma Technology OpAL Control system The system is controlled by a dedicated Personal Computer (PC) linked to a Programmable Logic Controller (PLC), housed in the system console. The PC, located adjacent to the system console, runs the OIPT PC 2000 software, which provides a user interface in the Microsoft Windows environment. 3.4.1 PC 2000 Hardware and software with licence Hardware The system is controlled and monitored by a Pentium™ PC comprising base unit, keyboard, mouse, 3.5" floppy disk drive, CD-ROM drive and colour monitor. The PC runs a Windows operating system and communicates with the OpAL via an Arcnet communications link. PC 2000 software and single-user licence The PC 2000 control software runs as a Windows-based application allowing multiple levels of system control: SYSTEMS MANAGER, SYSTEMS ENGINEER, PROCESS DEVELOPER, MAINTENANCE ENGINEER, PROCESS EDITOR and OPERATOR, all of which are accessed by password entry. The system status is displayed on graphic mimic diagrams with all operational parameters and status displays accessible through pop-up windows selected using the mouse. All the major process parameters are accessible from the recipe and process step set-up pages, including definition of gases on each line and calculation of mass flow settings in sccm's. The software includes data logging to disk of run-time process parameters for off-line verification and analysis of process conditions. Processing recipes can be formulated and stored in the computer and the system can be run in fully automatic mode using the recipes. Alternatively, the system can be run in the manual mode with each phase of the process controlled and initiated separately. All the parameters can be monitored in real time using the PC 2000 software. Processing recipes can be formulated and stored in the computer and the system can be run in fully automatic mode using the recipes. Alternatively, the system can be run in the manual mode with each phase of the process controlled and initiated separately. All the parameters can be monitored in real time using the PC 2000 software. 3.4.2 System controller The system is controlled from a remote IBM compatible PC computer terminal using Oxford Instruments Plasma Technology's 'PC 2000' software via a Programmable Logic Controller (PLC) housed in the base unit. See Fig 3-14. Communications between the PC and PLC are via an Arcnet serial link and between the PLC interface PCB and the Controller Area Network (CAN) modules are via a CAN bus. Printed: 07 August 2009 07:42 Description Page 3-13 of 46 Issue 1: August 07 OpAL System Manual Oxford Instruments Plasma Technology PERSONAL COMPUTER RUNNING 'PC 2000‘ SOFTWARE IINTERFACE PCB DISCRETE CONTROL & STATUS SIGNALS GAS POD Gas flows CAN PCB (Gas lines 1-4) END POINT Gas valve control SERIAL DIGITAL COMMUNICATIONS CAN PCB (Gas lines 5-8) (If fitted) CM PRESSURE GAUGE WATER FLOW SWITCH INTERLOCKS Gas flows HEATER CONTROLLER Gas valve control PENNING/PIRANI PRESSURE GAUGE LF GENERATOR Gas flows CAN PCB (Gas lines 9-12) Gas valve control (If fitted) TURBO CONTROLLER RF GENERATOR CHAMBER VENT VALVE PLC TURBO PURGE VALVE INTERFACE PCB ROUGHING VALVE TURBO BACKING VALVE CM GAUGE ISOLATION VALVE GATE VALVE CHAMBER LID INTERLOCK VACSTAT (CHAMBER) CHAMBER THERMOCOUPLE GENERATOR INTERLOCK Discrete analogue/digital signal control circuits CAN bus control circuits EMO BUTTON IN PUMPS AUDIBLE WARNING (BUZZER) IF FITTED CAN PCB (VAT variable) Adaptive Pressure Controller control CAN PCB (A) Signal tower lights control (Red/Orange/Green) if fitted = CAN bus = Discrete Analogue/Digital signals Fig 3-14: Typical control system The system may be run from the PC terminal in manual mode, that is using direct 'real time' control over the process, or in automatic mode where the system performs the entire process according to previously entered recipes. The extensive Oxford Instruments Plasma Technology process library supports all OpAL configurations. A full description of the 'PC 2000' control instructions is provided in Section 5. For details of the control wiring, see the relevant drawings in Volume 2 of this manual. Issue 1: August 07 Description Page 3-14 of 46 Printed: 07 August 2009 07:42 System Manual 3.4.3 Oxford Instruments Plasma Technology OpAL Control PLC (ALD valves) The control PLC, shown in Fig 3-15 provides control of the ALD valves. The PLC incorporates a fast microprocessor. B&R Analogue output card (two channels) PLC Base unit B&R Analogue input card (four channels) Pc2003 Digital I/O IDC Compact flash card CAN Interface PLC Connector CPU Analogue PCB Fig 3-15: Control plc Printed: 07 August 2009 07:42 Description Page 3-15 of 46 Issue 1: August 07 OpAL 3.4.4 System Manual Oxford Instruments Plasma Technology Heating The management of condensation and decomposition in OpAL is achieved by dedicated temperature control of individual components. Up to 16 temperature zones are available as shown in Fig 3-16 and Table 3-1. Temperature is controlled using PID. ICP Gate Valve Zone 1 Services panel Zone 2 Gate Valve Zone 4 External pumping Zone 3 4 x 0.5 m lengths Precursor 4 Zones 12,13,14 Precursor 3 Zones 9, 10,11 Precursor 1 Reserved for water Precursor 2 Zone 5 Zones 6, 7, 8 Fig 3-16: Temperature zones Zone 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 Name Upper Chamber Lower Chamber Internal pumping External pumping P1 –Water Z3 (dual valve) P2Z1 (source pot) P2Z2(intermediate) P2Z3(dual valve) P3Z1(source pot) P3Z2(intermediate) P3Z3(dual valve) P4Z1(source pot) P4Z2(intermediate) P4Z3(dual valve) ICP Gate Valve Spare Temp Range (deg C) 20 - 180 20 - 180 20 - 120 20 -120 20 - 200 20 - 200 20 - 200 20 - 200 20 - 200 20 - 200 20 - 200 20 - 200 20 - 200 20 - 200 20 - 120 20 - 200 Power (Watts) 2000 2000 75 4 x75 84 87 74 84 87 74 84 87 74 84 162 Snap switch 200 ± 10 200 ± 10 160 ± 10 160 ± 10 220 ± 10 220 ± 10 220 ± 10 220 ± 10 220 ± 10 220 ± 10 220 ± 10 220 ± 10 220 ± 10 220 ± 10 160 ± 10 220 ± 10 Table 3-1: Temperature zones Issue 1: August 07 Description Page 3-16 of 46 Printed: 07 August 2009 07:42 System Manual Oxford Instruments Plasma Technology OpAL The heater zones are controlled from the PC 2000 software; a typical heater presets page is shown in Fig 3-17. Fig 3-17: Heater Presets page Printed: 07 August 2009 07:42 Description Page 3-17 of 46 Issue 1: August 07 OpAL 3.4.4.1 System Manual Oxford Instruments Plasma Technology Heater PLC The heater PLC, shown in Fig 3-18, controls all heaters except the grounded electrode. The PLC incorporates a dedicated PID, which can control up to 16 temperature zones. PC 2003 Base Unit 2003 Analog input module B&R 3-slot backplane 2003 PC 2003 DIG I/O card CANBUS connector Fig 3-18: Heater plc Issue 1: August 07 Description Page 3-18 of 46 Printed: 07 August 2009 07:42 System Manual Oxford Instruments Plasma Technology 3.5 Controls and indicators 3.5.1 Base unit OpAL The controls and indicators are mounted on the front of the unit as shown in Fig 3-19. Fig 3-19: Controls and indicators The controls and indicators comprise: Chamber Hoist buttons and up/down selection switch: These controls raise and lower the chamber top to allow access to the chamber interior. To raise or lower the chamber top, the up/down selection switch is set to the required position, then both chamber hoist buttons are pressed. Emergency Off (EMO) button: When pressed, the system is shut down. See subsection 3.6.1 for details. Power On indicator: Indicates that 3-phase electrical power is connected to the machine. System On indicator: Indicates that the system is powered up. System On button: Switches the system power on. System Off button: Switches the system power off. Printed: 07 August 2009 07:42 Description Page 3-19 of 46 Issue 1: August 07 OpAL 3.5.2 Oxford Instruments Plasma Technology System Manual Precursor cabinet control panel The control panel, shown in Fig 3-20, controls the bypass valves and N2 purge gas during the installation and removal of precursor pots. Each switch is lockable, requiring a key to operate it. Fig 3-20: Precursor cabinet control panel 3.6 Emergency off and Interlock facilities Emergency off (EMO) and interlock facilities are provided to shut down the machine in an emergency and to prevent processing until the system is fully prepared. The functions of these facilities are described in the following sub-sections. Note that the circuit implementation of these facilities is fully described in Section 7 as an aid to troubleshooting. 3.6.1 Emergency off switch An emergency off switch, with normally-closed contacts, is mounted on top of the console. The switch is activated by pressing a 40 mm diameter red 'Emergency Off' button. When the switch is activated, its contacts open removing the 24V dc EMO supply to the main input contactor, thus shutting down the entire machine. An external EMO facility is provided at SK7 located on the power distribution unit. This allows normally-closed contacts of external equipment (e.g. remote EMO switches, exhaust scrubbers etc.) to be connected in series with the machine’s EMO switch. If this facility is not used, pins D & A in SK7 must be shorted out by a link. To reset the machine after EMO activation, refer to the procedure given in Section 5. IMPORTANT Where the rotary vane pump is powered from a mains supply separate from the OpAL system, a separate 'Emergency Off' facility must be provided by the customer. Issue 1: August 07 Description Page 3-20 of 46 Printed: 07 August 2009 07:42 System Manual Oxford Instruments Plasma Technology 3.6.2 PLC interlock chain 3.6.2.1 General description OpAL The interlocks form a continuous 24Vdc chain, which must be complete before the process gases and RF power supplies are enabled. An output to disable external devices unless the lid/ hoist is closed is also provided; this is typically used to disable a lidmounted endpoint detector laser. The interlock chain is monitored by the software, but acts independently. It is also supplemented by machine protection sensors, which operate only via the software. No ALD gases or processes will be run if the heaters are not in tolerance. To enable RF power: The 600 mbar vacuum switch (‘Vacstat’) must be at low pressure The process chamber lid must be shut (or its hoist down) The primary process pump must be running The primary process pressure gauge (normally a capacitance manometer) must be on scale Customer-supplied external alarm devices must be in their safe state The inert gas purge to the primary process pump must be flowing. To enable process gases: RF power must be enabled The gas box lid must be shut Specific gases can be set in the gas box hardware to be mutually exclusive, so that they cannot be turned on together. To enable delivery of ALD gases: The 600 mbar vacuum switch (‘Vacstat’) must be at low pressure Pressure in chamber at base pressure The process chamber lid must be shut (or its hoist down) The precursor cabinet door is shut The primary process pump must be running The primary process pressure gauge (normally a capacitance manometer) must be on scale Customer-supplied external alarm devices must be in their safe state The inert gas purge to the primary process pump must be flowing. Machine protections fitted where appropriate: A nitrogen flow meter, to detect purge gas flow to pump bearings. Water flow switch/es. RF enable interlock chain details are given in Table 3-2; Refer to drawing SE00A26865 (PC2003 interface schematic). Printed: 07 August 2009 07:42 Description Page 3-21 of 46 Issue 1: August 07 OpAL System Manual Oxford Instruments Plasma Technology DEVICE Vacuum Switch PCB input BLK17 PCB1 LED 11 NONE Pressure below 600 mBar a) BLK18 12 NONE Lid closed or hoist down. Enables end point laser via JP51 BLK19 13 JP44 6 15 Interlock disabled if an independent/dry pump fitted Capacitance Manometer (e.g. Baratron™) JP16 5 NONE Analogue input below 11.5V. Switches comparator U5. External Voltage Free contact or 24V DC input to PCB JP52 14 External Voltage Free contact JP53 15 Can be bypassed using LK19 20 Customer-supplied device. Volt free contact JP52 pins 1 and 4. OR 24V DC input JP52 pin 4. Customer-supplied device INTERLOCK Vacuum Switch Hoist /Lid or Air cylinder switch/microswitc h b) Guardmaster Switch N/O Switch Current monitor in Power Box Primary pump running Process pressure gauge on scale Spare interlock 1 Spare interlock 2 Link out Or Comments Or Load lock valve Process pump purge Inter-chamber valve must be shut Gas Flow Switch at Primary Pump Used on 100 and 133 systems JP55 16 LK21A Fit LK21B if fitted Table 3-2: RF enable interlock chain details If above satisfied, then 24V is at BLK20, 21 & 22 pin 1. This enables the K4 contactor to supply power to the RF Generator. Issue 1: August 07 Description Page 3-22 of 46 Printed: 07 August 2009 07:42 System Manual 3.6.2.2 Oxford Instruments Plasma Technology OpAL Gas pod interlocks Refer to drawing: 94-SE81B26657 (PC2003 gas pod loom). To enable process gases, the RF interlock chain must be complete. The gas pod interlock is shown in Fig 3-21. SYSTEM CONSOLE PCB 94-PC00S26866 BLK 20 24VDC 1 GAS BOX REAR SERVICES PANEL SKT25W PIN4 PL25W PIN4 SERVICES PANEL SKT25W PL24W PIN4 PIN4 PCB 94-PC81S26655 JP6 PIN1 JP6 PIN2 LID SWITCH JP6 PIN3 Via JP3 to CAN unit controlling gases 1 - 4. See NOTE. NOTE: The function of the signal is to supply 24Vdc power to the digital outputs of the CAN unit. The interlock continues through idendical boards (where fitted) which drive gases 5 - 8 and 9 - 12. Fig 3-21: Gas pod interlock chain 3.6.2.3 1st Gas 2nd Gas 3rd Gas 4th Gas Incompatible gases 2nd PCB Gas 3rd PCB Gas 1st PCB Gas 1 5 9 2 6 10 3 7 11 4 8 12 Gases are designated as one of three types: Type A LK3A + 4 LK5A + 6 LK7A + 8 LK9A + 10 Type B LK3B LK5B LK7B LK9B Type X LK3A LK5A LK7A LK9A Gas type A: Typically oxidising gases (e.g. oxygen) Gas type B: Typically fuel gases (e.g. hydrogen) Gas type X: Gases normally miscible with most other gas types. If ANY gas Type A is enabled, then ALL gas Type B lines are disabled. Table 3-3: Incompatible gases The gas pod has a facility to prevent incompatible gases from being enabled simultaneously, using soldered links. Printed: 07 August 2009 07:42 Description Page 3-23 of 46 Issue 1: August 07 OpAL 3.6.2.4 System Manual Oxford Instruments Plasma Technology System Link Configuration Table NAME LK1 LK2 LK3 LK4 LK5 LK6 A/B LK7 A/B LK8 LK9 LK10 LK11 LK12 LK13 LK14 A/B LK15 LK16 LK17 LK18 LK19 LK20 LK21 A/B FUNCTION ANALOGUE 0V TO CHASSIS DIGITAL 0V TO ANALOGUE 0V NON – CONTROLLER CRYO ENABLE HEATER SNAP SWITCH BYPASS FIT IF NO OEM CONTROLLER LK6A = NON PM140 ENDPOINT LK6B = PM140 ENDPOINT LK7A = NON PM140 ENDPOINT LK7B = PM140 ENDPOINT +24V DC TO RL2 COM1 +24V DC TO RL2 COM2 +24V DC TO RL6 COM1 +24V DC TO RL6 COM2 +24V DC TO RL7 COM1 +24V DC TO RL7 COM2 LK14A = NON DRY PUMP FITTED LK14B = DRY PUMP FITTED PUMP CURRENT BYPASS +24V DC TO RL8 COM2 NON – CONTROLLER HEATER ALARM NON – CONTROLLER HEATER ENABLE SPARE INT/LOCK 1 BYPASS NOT FITTED 100/133 SPARE INT/LOCK 2 BYPASS LK21A = PURGE SWITCH NOT FITTED LK21B = PURGE SWITCH FITTED NOTES SEE LK7 A/B SEE LK 6 A/B Table 3-4: System link configuration 3.6.2.5 System LED Monitoring Table NAME LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 LED9 LED10 LED11 LED12 LED13 LED14 LED15 LED16 LED17 COLOUR GREEN RED YELLOW GREEN RED RED RED RED RED RED RED RED RED RED RED RED RED MONITORING +24V DC +15V DC -15V DC +5V DC CM COMP OK PUMP CURRENT SWITCH N2 PRESSURE SWITCH WATER ONE WATER TWO WATER THREE VAC STAT RL10 (HOIST CONTROL) HOIST SPARE INTERLOCK 1 SPARE INTERLOCK 2 PUMP PURGE SWITCH RL15 (MASTER/SLAVE) ACTIVE SLAVE Table 3-5: System LED monitoring Note that when the interlock chain is complete, all LEDs are illuminated. Issue 1: August 07 Description Page 3-24 of 46 Printed: 07 August 2009 07:42 System Manual 3.7 Oxford Instruments Plasma Technology OpAL Process chamber The chamber arrangement is shown in Figs 3-18 to Fig 3-21. The processing chamber is fitted with liners to minimize deposition on the chamber walls. The liners can be removed for maintenance. Fig 3-22: Typical ALD configuration Printed: 07 August 2009 07:42 Description Page 3-25 of 46 Issue 1: August 07 OpAL 3.7.1 Oxford Instruments Plasma Technology System Manual Upper chamber configuration for plasma ALD The upper chamber configuration for ALD is shown in Fig 3-23 (based on drawing GMA71A30690). This configuration comprises the following major components: Automatic Matching Unit (AMU) – matches the impedance from the RF generator to the ICP 65 ion source to ensure maximum power transfer. ICP 65 ion source. – produces a plasma for the process chamber. Fast gate valve – when required, isolates the ICP 65 ion source from the process chamber. The valve is heated by a heater jacket. Process chamber top – forms the upper half of the process chamber and when lowered onto the lower chamber provides a vacuum-tight process chamber. Sealing is provided by integral O rings. Apertures are provided for the following: Plasma head – ICP 65. Two blanked view ports – each can be used as a view port or to mount an end point detection device. Process gas line inlet. Purge gas line inlet. Issue 1: August 07 Description Page 3-26 of 46 Printed: 07 August 2009 07:42 System Manual Oxford Instruments Plasma Technology OpAL Automatic Matching Unit (AMU) Top electrode (ICP 65) Heater jacket for fast gate valve Centering ring O ring Fast gate valve O ring Adapter flange Process gas line O ring Plasma head liner Blank view port components Clamping components Purge gas line Process chamber top Blank view port Fig 3-23: Upper chamber configuration for plasma ALD Printed: 07 August 2009 07:42 Description Page 3-27 of 46 Issue 1: August 07 OpAL 3.7.2 System Manual Oxford Instruments Plasma Technology Upper chamber configuration for thermal ALD The upper chamber configuration for thermal ALD is shown in Fig 3-24 (based on drawing G-MA71A29920). This configuration comprises the following major components: Chamber top cover incorporating a process gas inlet. Process chamber top – forms the upper half of the process chamber and when lowered onto the lower chamber provides a vacuum-tight process chamber. Sealing is provided by integral O rings. Apertures are provided for the following: Two blanked view ports – each can be used as a view port or to mount an end point detection device. Process gas line inlet. Process gas valve (if fitted) Clamping components Gas port assembly O ring Centering ring Chamber top cover (thermal) Clamping components O ring Process chamber top Liner disk Blank view port components Fig 3-24: Upper chamber configuration for thermal ALD Issue 1: August 07 Description Page 3-28 of 46 Printed: 07 August 2009 07:42 System Manual 3.7.3 Oxford Instruments Plasma Technology OpAL Lower chamber configuration for plasma and thermal ALD The lower chamber configuration for plasma and thermal ALD is shown in Fig 3-25 (based on drawing MA71A29232). Lower chamber liner Heater cartridge Lower process chamber Thermo Switch O ring Mounting flange Water in for flange cooling Water out for flange Heater cooling legs Thermocouple leg O ring Adapter flange (pumping line) Fig 3-25: Lower chamber configuration for both thermal and plasma ALD Printed: 07 August 2009 07:42 Description Page 3-29 of 46 Issue 1: August 07 OpAL 3.8 System Manual Oxford Instruments Plasma Technology Lower Electrode The lower electrode is shown in Fig 3-26. The electrode is grounded inside the chamber. A flange with allowance for the electrode heater legs and thermocouple leg is fitted to the underside of the chamber. The flange may be water cooled to provide protection to the ‘O’ ring seals. Cooling is achieved by water flowing in channels within the flange. Lower Electrode (400° C) Mounting bracket (3-off) Heater legs Thermocouple Fig 3-26: Lower electrode Issue 1: August 07 Description Page 3-30 of 46 Printed: 07 August 2009 07:42 System Manual 3.9 Oxford Instruments Plasma Technology OpAL Vacuum system A typical vacuum schematic for the process chamber, using a 63m3/hr rotary with 2 metres of NW40 flexible stainless steel tubing, is shown in Fig 3-27. The gate valves and isolation valves associated with the pumping systems are pneumatically operated. The process chamber pressure is monitored by a 1-Torr capacitance manometer gauge. Pressure control is achieved by flowing inert gas using a mass flow controller controlled by PC 2000 via the Programmable Logic Controller (PLC). Fig 3-27: Vacuum system Printed: 07 August 2009 07:42 Description Page 3-31 of 46 Issue 1: August 07 OpAL 3.10 Oxford Instruments Plasma Technology System Manual System power supply The Power Distribution Unit, shown in Fig 3-28, is located at the rear of the unit. It houses circuit breakers and transformers (±15 volt DC and 24 volt DC supplies). The main power supply cable gland and sockets for rotary pumps and auxiliary EMO connection are mounted on the unit. Fuses for the 24 volt and ±15 volt supplies are also accessible externally. For circuit details, refer to the Power Distribution Unit circuit diagram in Volume 2 of this manual. Fig 3-28: Typical power distribution unit (cover removed) Issue 1: August 07 Description Page 3-32 of 46 Printed: 07 August 2009 07:42 System Manual 3.11 Oxford Instruments Plasma Technology OpAL 94-100-6-46 ICP 65 source The ICP 65 source is shown in Fig 3-29. RF power from the RF generator is fed via the automatch unit to the RF coil to create a plasma within the insulating tube (under vacuum). Process gas is supplied to the top of the insulating tube and to the gas ring located within the process chamber. The screening box cover incorporates a NW40 pumping port to enable ozone removal. The cover is interlocked to prevent the application of electrical / RF power whilst the cover is not in place. WARNING DO NOT POWER UP THE SYSTEM WITHOUT FIRST CONNECTING THE NW40 PUMPING PORT TO AN EXTRACTION SYSTEM CAPABLE OF PUMPING AT FIVE CUBIC METRES/HOUR. Printed: 07 August 2009 07:42 Description Page 3-33 of 46 Issue 1: August 07 OpAL Oxford Instruments Plasma Technology System Manual Fig 3-29: ICP 65 source Issue 1: August 07 Description Page 3-34 of 46 Printed: 07 August 2009 07:42 System Manual 3.12 Oxford Instruments Plasma Technology OpAL Gas handling WARNING CONTACT WITH TOXIC GASES CAN CAUSE DEATH OR SERIOUS INJURY. USERS SHOULD PERFORM THEIR OWN RISK ASSESSMENT OF HAZARDOUS GASES TO BE USED ON THE SYSTEM. BEFORE VENTING THE PROCESS CHAMBER, ALWAYS ENSURE THAT THE SYSTEM IS ADEQUATELY PURGED AND PUMPED. SEE SUBSECTION 5.8.6. The process gas handling system is supplied as one of two options: a) An internal gas “pod” which requires 2 bubbler and purge gases fitted inside the main console and an additional 2 process gases which are fitted inside the precursor cabinet. b) An 8-line gas pod. See sub-section 3.12.4, page 3-39. Depending on the machine specification and process gases used, the gas lines fitted can be non-toxic and/or toxic. See sub-section 3.12.1 (non-toxic) and 3.12.2 (toxic). 3.12.1 Standard non-toxic gas line The standard non-toxic gas line is shown in Fig 3-30. All gas fittings are VCR and all stainless steel pipework connections are welded. The ‘gas in’ tube passes into the side of the case, protected by a grommet. A ferrite core, fitted to the ‘gas in’ tube, reduces the susceptibility of the gas pod electronics to signals from nearby transmitting devices, e.g. mobile phones, modems, etc. Gas from the customer’s cylinder/regulator/filter flows into the ‘gas in’ tube to the filter. The gas flows through the 2-µm filter to the mass flow controller (MFC). The MFC controls the flow of gas as commanded by the system controller. The gas then flows through the pneumatically controlled outlet shut-off valve and into the gas out manifold where it is mixed with the other process gases before flowing into the process chamber. WARNING IF THE SHUT-OFF VALVE IS CLOSED, IT REMAINS SHUT FOR DIFFERENTIAL PRESSURES UP TO 5 BAR. A FAILURE UPSTREAM, WHICH PRODUCES LINE PRESSURES ABOVE THIS, WILL NOT BE CONTAINED. IF THIS PRODUCES A HAZARD, THE CUSTOMER IS WARNED TO FIT ADDITIONAL PROTECTION UPSTREAM. Printed: 07 August 2009 07:42 Description Page 3-35 of 46 Issue 1: August 07 OpAL System Manual Oxford Instruments Plasma Technology GAS OUT MANIFOLD OUTLET SHUT-OFF VALVE (PNEUMATICALLY CONTROLLED) MASS FLOW CONTROLLER 2 µm FILTER GAS LINE EXTENSION FERRITE CORE GROMMET GAS IN TUBE (STAINLESS STEEL) Fig 3-30: 94-81-9-11 Standard non-toxic gas line 3.12.2 Standard toxic gas line The standard toxic gas line is shown in Fig 3-31. All gas fittings are VCR and all stainless steel pipework connections are welded. The gas in tube passes into the side of the gas pod case, protected by a grommet. Gas from the customer’s cylinder/regulator/filter flows into the ‘gas in’ tube to the filter. WARNING THE CLOSED INLET VALVE REMAINS SHUT FOR DIFFERENTIAL PRESSURE UP TO 210 BAR. A FAILURE UPSTREAM WHICH PRODUCES LINE PRESSURES ABOVE THIS WILL NOT BE CONTAINED. IF THIS PRODUCES A HAZARD, THE CUSTOMER IS WARNED TO FIT ADDITIONAL PROTECTION UPSTREAM. With the Inlet Valve and Outlet Valve open and the Bypass Valve closed, the gas flows through the 2 µm filter to the mass flow controller (MFC). The MFC controls the flow of gas as commanded by the system controller. The gas then flows through the outlet valve and into the gas out manifold where it is mixed with the other process gases before flowing into the process chamber. With the Bypass Valve open, the gas flows through the bypass line directly to the gas out manifold. This facility is provided to enable the toxic gas line to be evacuated by pumping down the process chamber. This is necessary to prevent air entering the gas line and contaminating it during a gas cylinder changeover, and to service the gas line in the event of an MFC or filter blockage. Issue 1: August 07 Description Page 3-36 of 46 Printed: 07 August 2009 07:42 System Manual Oxford Instruments Plasma Technology OpAL Fig 3-31: 94-81-9-21 Standard toxic gas line Printed: 07 August 2009 07:42 Description Page 3-37 of 46 Issue 1: August 07 OpAL 3.12.3 System Manual Oxford Instruments Plasma Technology Internal gas pod The purpose of the internal gas pod is to provide two gases dedicated for inert gas purging and inert gas bubbling of precursors. The controls are provided from a CAN unit sited inside the main console. A further two gases which are process gases may be housed inside the precursor cabinet. In the unlikely event that a leak occurs in the precursor cabinet, an extraction collar at the rear of the case enables any leaked gas to be safely removed by a laboratory extraction system. Selection of gases and flow rates are determined by the system controller. The outputs from the two gas lines dedicated for purge and bubbling are fed separately into the chamber. The two process gases housed inside the precursor cabinet are connected to the process chamber gas line. Pneumatically operated shut-off valves in each gas line are driven by associated SMC valves mounted on the associated gas pod CAN PCB. Each SMC valve is powered by compressed air and controlled by signals from the system controller. All gas pod functions are controlled by interlocks, refer to sub-section 3.6.2.2 for details. A gas pod cover interlock microswitch disables all gas pod functions unless the cover is correctly fitted. A CAN (Controller Area Network) PCB is fitted, controlling the gas lines. The Gas Pod CAN PCB receives signals from the system controller, to control the SMC valves, and the Mass Flow Controllers (MFC) fitted in the gas lines. For a circuit diagram of the Gas Pod CAN PCB, refer to the electrical drawings in Volume 2 of this manual. . WARNING THE CONNECTION FROM THE GAS POD MANIFOLD TO THE PROCESS CHAMBER SHOULD NOT INCLUDE ANY SHUT OFF VALVE, UNLESS THIS HAS BEEN CLEARED WITH OXFORD INSTRUMENTS PLASMA TECHNOLOGY. A BLOCKAGE HERE COULD CAUSE PROCESS GASES TO MIX AND CROSS CONTAMINATE IN THE HIGH PRESSURE GAS DELIVERY PIPEWORK. Issue 1: August 07 Description Page 3-38 of 46 Printed: 07 August 2009 07:42 System Manual 3.12.4 Oxford Instruments Plasma Technology OpAL 8-line gas pod The purpose of the gas pod is to feed a mixture of process gases, at specified flow rates, to the process chamber. Selection of gases and flow rates are determined by the system controller. A ‘clean gas’ line can be incorporated to feed an etch gas mixture into the process chamber to remove process residues. The gas pod, shown in Fig 3-32, comprises a steel case with a folded removable cover. In the unlikely event that a leak occurs, an extraction collar at the top of the case enables any leaked gas to be safely removed by a laboratory extraction system. The back panel of the case is fitted with fixing holes for wall or frame mounting. The case incorporates stations for up to eight gas lines. The outputs from the gas lines are fed into a common manifold, which is connected to the process chamber gas line. The gas output manifold can be split to provide two out puts; one to the process chamber and the other to another device, e.g. gas ring. Pneumatically operated shut-off valves in each gas line are driven by associated SMC valves mounted on the associated gas pod CAN PCB. Each SMC valve is powered by compressed air and controlled by signals from the system controller. All gas pod functions are controlled by interlocks, refer to sub-section 3.6.2.2 for details. A gas pod cover interlock microswitch disables all gas pod functions unless the cover is correctly fitted. Two CAN (Controller Area Network) PCBs are fitted, each controlling four gas lines (1 – 4 and 5 – 8). Each Gas Pod CAN PCB receives signals from the system controller, to control the SMC valves, and the Mass Flow Controllers (MFC) fitted in the associated gas lines. For a circuit diagram of the Gas Pod CAN PCB, refer to the electrical drawings in Volume 2 of this manual. A ‘clean gas’ line can be fitted in place of gas line 1. The ‘clean gas’ line flow rate can be set either manually by a variable valve or by an MFC. Note that the ‘clean gas’ is usually supplied from a cylinder containing the required gas mixture. An alternative method is to mix separate gases in optional additional gas lines. WARNING THE CONNECTION FROM THE GAS POD MANIFOLD TO THE PROCESS CHAMBER SHOULD NOT INCLUDE ANY SHUT OFF VALVE, UNLESS THIS HAS BEEN CLEARED WITH OXFORD INSTRUMENTS PLASMA TECHNOLOGY. A BLOCKAGE HERE COULD CAUSE PROCESS GASES TO MIX AND CROSS CONTAMINATE IN THE HIGH PRESSURE GAS DELIVERY PIPEWORK. Printed: 07 August 2009 07:42 Description Page 3-39 of 46 Issue 1: August 07 OpAL System Manual Oxford Instruments Plasma Technology 100MM EXTRACTION COLLAR GAS POD COVER (CUT AWAY TO SHOW INTERNAL DETAILS) PROCESS GAS OUT TO CHAMBER (1) GAS LINE 8 (IN) GAS LINE 7 (IN) GAS LINE 6 (IN) GAS LINE 5 (IN) GAS LINE 4 (IN) GAS LINE 3 (IN) GAS LINE 2 (IN) GAS LINE 1 (IN) PROCESS GAS OUT TO CHAMBER (2) GAS POD CAN PCB (GAS LINES 1-4) CONTROL CABLE FROM PLC / PCB GAS POD CAN PCB (GAS LINES 5-8) GAS POD COVER INTERLOCK MICROSWITCH COMPRESSED AIR IN OUT Fig 3-32: Typical 8-line gas pod Issue 1: August 07 Description Page 3-40 of 46 Printed: 07 August 2009 07:42 System Manual 3.13 Oxford Instruments Plasma Technology OpAL Precursor NOTE: Different precursors can be used to deposit the same film. Where possible, OIPT will endeavour to use novel precursors or find alternatives which are safer to handle, use and manage in our systems. Unless benefits are significant, OIPT is not recommending solid precursors. A careful selection and evaluation of precursors is performed prior to the order intake process A precursor is a term for a source chemical which may be in a solid, liquid or gas state. The precursors may be generally categorized as; Gases: N2, O2, O3 (in-situ), NH3, WF6, H2, … High Vapour Pressure (HVP): e.g. 5 –40 Torr at room temperature liquids (e.g. TMA, SiCl4, TiCl4, H2O) and solids (e.g. W(CO)6) Low Vapour Pressure (LVP): e.g. < 0.5Torr @ RT (eg. Some metal organic Zr, Ta and Hf precursors) and solids (e.g. TaCl5, HfCl4, ZrCl4). (Some solids may be dissolved in a solvent and handled as liquids) There is an additional category which is for precursors with vapour pressures between 0.5 Torr and 5 Torr. In this case, the source pot is heated to at least 20-50 deg C above ambient to increase the vapour pressure so that there is sufficient vapour to saturate the wafer. The delivery method will very much depend on the precursor type, both vapour draw and bubbling may be used The precursors are transported in vapour from source container to the chamber. In principle, two delivery methods, bubbling and vapour draw are used to deliver precursors. Printed: 07 August 2009 07:42 Description Page 3-41 of 46 Issue 1: August 07 OpAL System Manual Oxford Instruments Plasma Technology Fig 3-33: Precursor delivery layout in OpAL Vapour draw is used for delivering high vapour pressure chemicals, category B. This method is used when processing at pressures < vapour pressure of the source chemical at room temperature. Bubbling is used to deliver low vapour pressure chemicals, category C. Gases are delivered directly into the chamber. Delivery method Vapour draw Vapour draw / Bubbling Bubbling Precursor Category B Intermediate between B and C C Heating of source pot No Yes Yes Precursors are supplied in bubblers which are pre-fitted with two manual valves – one on the inlet and one on the outlet side. It is imperative that during precursor selection, correct precursor, amount (max. 100 g), bubbler types and temperature rating of the manual valves are specified. Precursors may be purchased from Air Products, Akzo Nobel, Epichem, Sigma Aldrich, Rohm and Haas, Strem Chemicals, etc. Issue 1: August 07 Description Page 3-42 of 46 Printed: 07 August 2009 07:42 System Manual 3.13.1 Oxford Instruments Plasma Technology OpAL Water delivery A water pot is located inside the top console. A pipe connects the pot outlet to the process chamber. A pair of ALD fast valves provides delivery and purging of the line. 3.13.2 Precursor Cabinet A maximum of three ALD precursors can be fitted inside the precursor cabinet. Fig 3-34 shows the arrangement of precursors inside the cabinet. The precursor source pots are heated using dedicated heater jackets. Two MFCs can be located inside the precursor cabinet. Fig 3-34: Precursor arrangement inside cabinet Printed: 07 August 2009 07:42 Description Page 3-43 of 46 Issue 1: August 07 OpAL 3.13.3 Oxford Instruments Plasma Technology System Manual Precursor manufacturers Bubblers can be ordered from any of the major precursor manufacturers shown in the following table. Manufacturer Epichem Air Products (inc Schumacher and Akzo Nobel) Sigma Aldrich (SAFC) Air Liquide Strem Chemicals Web address www.epichem.com www.airproducts.com www.sigmaaldrich.co m www.airliquide.com www.strem.com Table 3-6: Precursor manufacturers Please note the above is not an exhaustive list as Oxford Instruments always recommends you shop around to get the best deal on both price and delivery time, which varies depending on the precursor you are ordering. NOTE: Issue 1: August 07 Heater jackets are specific to the bubbler type fitted and have to be specified at the time of order. Description Page 3-44 of 46 Printed: 07 August 2009 07:42 System Manual 3.13.4 Oxford Instruments Plasma Technology OpAL Bubbler connections Bubblers with fill up to 200g can be ordered. The precursor cabinet has been designed to take precursor source pots of maximum height 165mm and 51mm diameter as shown in Fig 3-35. Please state when ordering the bubbler that it is for an Oxford Instruments machine and the manufacturer should be aware of OIPT’s bubbler specifications. Please see Fig 3-35 for details of these connections. Alternative connection arrangements may be accommodated but must be specified well in advance. Fig 3-35: Requirements for connecting bubblers NOTE: 3.13.5 For low vapour pressure compounds that will be heated above 80°C, please remember to specify high temperature compatible manual valves when ordering a bubbler. Precursor consumption See Table 3-7 for recommended ordering quantities for precursors. Quantity of precursor 50g 100g 200g Recommended use Researching a new material or developing a new process and running a few samples for measurements Running 2-3 months of medium duty sample work Running 4-6 months of fairly intensive processing Table 3-7: Recommended precursor quantities Printed: 07 August 2009 07:42 Description Page 3-45 of 46 Issue 1: August 07 OpAL 3.14 System Manual Oxford Instruments Plasma Technology Glove box The N2 purged glove box, shown in Fig 3-36, is located on the chamber to provide a nitrogen environment for use against moisture and oxygen-sensitive gases. The glove box incorporates: a manual substrate loader a nitrogen flow meter to regulate the flow of gas into the chamber an extraction port. Fig 3-36: Glove box 3.15 Residual gas analyser An MKS Vision 1000-C residual gas analyser (RGA) can be fitted on the process chamber to monitor the levels of various gas species during process runs. This equipment can also be used to monitor plasma cleaning operations. Refer to the manufacturer’s manual in Section 3 of this manual for a complete description of this equipment. Issue 1: August 07 Description Page 3-46 of 46 Printed: 07 August 2009 07:42 System Manual 4 Oxford Instruments Plasma Technology OpAL Installation and commissioning 4.. Installation and commissioning............................................................................. 4-1 4.1 Introduction................................................................................................. 4-2 4.2 Installing the system .................................................................................... 4-2 4.2.1 Unpacking ...............................................................................................4-2 4.2.2 Positioning the system components.........................................................4-3 4.2.3 Connecting the services...........................................................................4-4 4.3 Commissioning the system .......................................................................... 4-6 4.4 System adjustments ..................................................................................... 4-7 4.4.1 Process pump purge................................................................................4-7 Fig 4-1: 3-phase supply cable connections at the power box .......................................4-5 Printed: 14 July 2010 08:27 Installation and Commissioning Page 4-1 of 8 Issue 8: July 10 OpAL 4.1 Oxford Instruments Plasma Technology System Manual Introduction The installation and cabling of this system is the responsibility of the customer (unless this has been specifically altered in the sales contract). On completion of the system installation, Oxford Instruments Plasma Technology (OIPT) will commission the system. 4.2 Installing the system The following instructions are a general guide for installing a typical OpAL system, supplied with a remote gas pod and rotary vane pump. Customers should be aware of any special requirements for their specific system, e.g. internal gas lines, rotary pump purging, hazardous processes, endpoint detectors etc.. For details of the services required, refer to the Installation Data Sheets and the Services Specifications documents included in this manual as Appendices. IMPORTANT: BEFORE INSTALLING THE SYSTEM, ENSURE THAT ALL PERSONNEL WHO WILL BE INVOLVED HAVE READ AND UNDERSTOOD SECTION 1 ‘HEALTH AND SAFETY’ OF THIS MANUAL. 4.2.1 Unpacking Unpack system components and check for damage and missing items against the packing list. If any items are damaged or missing, report immediately to the carrier and OIPT. Issue 8: July 10 Installation and Commissioning Page 4-2 of 8 Printed: 14 July 2010 08:27 System Manual 4.2.2 Oxford Instruments Plasma Technology OpAL Positioning the system components WARNING LIFTING HEAVY OBJECTS INCORRECTLY CAN CAUSE SEVERE INJURY When handling heavy system components such as the system unit or vacuum pumps, ensure that the appropriate lifting equipment, operated by fully trained personnel, is used. When heavy rack-mounted components are handled, ensure that the weight is safely distributed between sufficient personnel. WARNING TOPPLING (TIPOVER) HAZARD - IF THE CONSOLE IS NOT KEPT VERTICAL, IT CAN TOPPLE CAUSING SEVERE INJURY. When transporting or manoeuvring the console, ensure that it remains vertical at all times. 1) Transport the system console(s) to the clean room. 2) Position the system console(s) in the required location. Consider access for maintenance and access to the services isolation points when positioning the system. OIPT recommends that at least 600mm service access space is allowed between any obstacle (e.g. walls, partitions, etc.) and serviceable items, e.g. the power distribution unit. 3) Level the system console(s) using the adjustable feet, then lock the feet. 4) Transport the system PC to the clean room and position it in the required location. 5) Transport the gas pod to the grey area and mount it in the required position. IMPORTANT OIPT gas pods typically weigh >40kg. If you intend fixing your gas pod to a wall, ensure the wall and gas pod fixings are sturdy enough to bear at least four times the weight of the gas pod. Periodically, e.g. annually, check the gas pod and its fixings are secure. 6) Transport the rotary vane pump to the grey area and mount it in the required position in accordance with the pump manufacturer’s instructions. Refer to the manufacturer’s literature in Volume 3 of this manual. Printed: 14 July 2010 08:27 Installation and Commissioning Page 4-3 of 8 Issue 8: July 10 OpAL System Manual Oxford Instruments Plasma Technology 7) 4.2.3 Connecting the services IMPORTANT NOTES (A) (B) (C) BEFORE CONNECTING ANY OF THE SERVICES, ENSURE THAT THEY ARE TURNED OFF. E.G. COMPRESSED AIR AND GAS SUPPLY VALVES SET TO THEIR OFF POSITIONS AND ELECTRICAL SUPPLIES SWITCHED OFF AND LOCKED OUT. DO NOT RESTRICT ACCESS TO THE EMERGENCY OFF SWITCH (EMO CONTROL) BY LOCATING CABLES AND OTHER OBSTACLES IN FRONT OF THE SYSTEM. DO NOT LOCATE CABLES WHERE PERSONNEL ARE LIABLE TO WALK. OIPT RECOMMENDS THAT CABLES ARE LOCATED IN CABLE TRUNKING / TRENCHES. 1) Connect the extraction collars on the system console(s) and the gas pod to the appropriate extraction systems. 2) Connect the rotary vane pump exhaust line. 3) Connect the Nitrogen purge lines to the system console(s) and the rotary vane pump. 4) Connect the compressed air supply to the system console(s) and to the gas pod. 5) Connect the gas supplies to the gas pod (all gas supply valves closed). 6) Connect the gas outlet line, control cable and earth cable (see Fig 4-1) from the gas pod to the system console. 7) Connect the cooling circuits to the system console. 8) At the system PC, connect the monitor, keyboard and mouse, then connect the control cable(s) from the PC to the system console. 9) Connect the electrical supply from the safety isolation box to the system console. If it is necessary to connect the 3-phase electrical supply cable to the power box, refer to sub-section 4.2.3.1. 10) Connect the electrical supply to the PC. 11) Ensure that all covers and panels are fitted and attach notices to the system indicating that the system is not ready for service. Installation is now complete and the system is ready for commissioning by Oxford Instruments Plasma Technology. Note that customers who have arranged to commission the system themselves can ignore the remainder of this Section. 12) Complete and sign the ‘System Readiness’ form QCF 89 (shipped with the system), then fax it to OIPT who will arrange for the system to be commissioned. Issue 8: July 10 Installation and Commissioning Page 4-4 of 8 Printed: 14 July 2010 08:27 System Manual 4.2.3.1 Oxford Instruments Plasma Technology OpAL Connecting the 3-phase supply cable to the power box If it is necessary to connect the 3-phase supply cable to the power box, use the following steps. 1) Ensure that the supply cable is not connected to the safety isolation box. 2) Remove the power box cover. 3) Inside the power box, remove the clear plastic safety cover from the power box (secured to the right-hand side of the power box by four screws). 4) Connect the 3-phase supply cable wires as shown in Fig 4-1. 5) Re-fit the clear plastic safety cover and then the power box cover. L1 L2 L3 Neutral 3-phase connections Protective Earth (at side of panel) Connection Terminal for Earth cable to Gas Pod Clear plastic safety cover Fig 4-1: 3-phase supply cable connections at the power box Printed: 14 July 2010 08:27 Installation and Commissioning Page 4-5 of 8 Issue 8: July 10 OpAL 4.3 System Manual Oxford Instruments Plasma Technology Commissioning the system Commissioning of the system will be carried out by OIPT in accordance with their standard procedures and any additional requirements stated in the sales contract. Generally, this will include the following items: 1) Checking that the installation has been carried out satisfactorily. 2) Powering up the system. 3) Checking the operation of the system, including the Emergency Off facility and all interlocks. 4) Ensuring that the system can perform the processes specified in the sales contract. 5) Providing training on the system. Issue 8: July 10 Installation and Commissioning Page 4-6 of 8 Printed: 14 July 2010 08:27 System Manual 4.4 Oxford Instruments Plasma Technology OpAL System adjustments This sub-section gives details of adjustments which may be necessary depending on system configuration. In addition to these adjustments, refer to the Operator Adjustments sub-section in Section 5 (Operating Instructions) of this manual. 4.4.1 Process pump purge An inert gas, normally nitrogen, is added to the process chamber primary mechanical pump for a variety of reasons: a) When pumping condensable vapours, it is flowed via the gas ballast port. This helps to prevent condensation during compression, and reduces the amount of liquids such as water vapour or SiCl4 in the pump fluid. b) When pumping reactive gases, it is bubbled through the pump fluid, to help drive out acidic compounds. c) When pumping flammable or explosive gases, it is added to dilute the gas below the threshold for explosion. d) In dry pumps, the purge gas flow is important for managing heat and limiting particle build up. WARNING DILUTION IS NOT USED TO MAKE THE EXHUAST SAFE TO BREATH: IT MUST STILL BE DUCTED AWAY AND TREATED APPROPRIATELY. If your OpAL system is supplied with a dry pump, e.g. Alcatel ADP122P or ADS602P, that includes its own purge gas monitor, with an output suitable for inclusion in a hardware interlock chain, it is permissible to use this instead of the OIPT purge kit. If your OpAL system is supplied with an oil filled rotary pump, e.g. Alcatel A2063C2, the purge kit supplied is configured for the process gases specified. Note that information about the Rotameters used is given in Appendix R. For purge requirements not covered by the standard OIPT purge kits or dry pump purge gas monitor an additional purge supplement is included at the end of this section. Inert pumping Tools that are pumping only atmospheric gases need no purge, other than any minimum purge the specific pump requires. Etch tools – halogen gases Tools that use gases containing halogens (fluorine, chlorine, and bromine – including compounds which contain these elements, e.g. CHF3), are supplied with purge into the pump, via a rotameter of full scale at least 4 standard litres per minute (slpm). Etch tools – flammable gases Certain processes use the flammable gases hydrogen (H2) and methane (CH4), often in combination with chlorine (Cl2) to etch compound semiconductors. The primary pump for these is purged with sufficient gas to bring the exhaust to one third of the lower flammability limit. Printed: 14 July 2010 08:27 Installation and Commissioning Page 4-7 of 8 Issue 8: July 10 OpAL Oxford Instruments Plasma Technology System Manual A rotameter is used to set and read the flow. A flow switch monitors the purge. The process gases are turned off by means of a hardware interlock if the flow switch reports low flow below 7.5slpm. Deposition processes – pyrophoric gases Tools that use silane to deposit thin films containing silicon shall be purged with sufficient gas to bring the exhaust to one third of the lower explosion limit. A rotameter is used to set and read the flow. A flow switch monitors the purge. For low rate processes (<25sccm SiH4) the process gases are turned off by means of a hardware interlock if the flow switch reports low flow below 5.2slpm. For high rate processes (<50sccm Si H4) the process gases are turned off by means of a hardware interlock if the flow switch reports low flow below 10.5slpm. Issue 8: July 10 Installation and Commissioning Page 4-8 of 8 Printed: 14 July 2010 08:27 System Manual= R lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i léÉê~íáåÖ=áåëíêìÅíáçåë= 5 Operating instructions .......................................................................................... 5-1 5.1 Introduction ...................................................................................................... 5-3 5.1.1 Controls and indicators............................................................................................5-3 5.1.2 PC 2000 Software Overview ....................................................................................5-4 5.2 System power-up.............................................................................................. 5-5 5.3 Shutting the system down in an emergency ................................................. 5-6 5.4 System shut down and restart ........................................................................ 5-6 5.4.1 Emergency shut down .............................................................................................5-6 5.4.2 Routine shut down...................................................................................................5-6 5.4.3 Mains Power failure .................................................................................................5-6 5.4.4 Software abort .........................................................................................................5-6 5.4.5 System shut-down procedure..................................................................................5-7 5.4.6 System restart following an emergency stop, power failure, or software abort 5-8 5.4.7 System response to loss of services .........................................................................5-8 5.5 Opening and closing the process chamber................................................... 5-10 5.6 Operator control ............................................................................................. 5-11 5.6.1 Turning screen savers and power saver options off ............................................5-11 5.6.2 Logging on .............................................................................................................5-12 5.6.2.1 Editing users details ...............................................................................5-12 5.6.3 System alerts...........................................................................................................5-15 5.6.4 Visual and audible warnings (if fitted).................................................................5-16 5.6.5 Using PC 2000 software .........................................................................................5-17 5.6.6 Pumping the system down ....................................................................................5-18 5.6.7 Venting the system ................................................................................................5-19 5.6.8 Manual process run................................................................................................5-19 5.6.9 Automatic process run...........................................................................................5-20 5.6.10 Single button automatic process run..................................................................5-21 5.6.11 Production mode..................................................................................................5-22 5.7 Creating and editing recipes ......................................................................... 5-23 5.7.1 Working with recipe steps.....................................................................................5-24 5.7.2 Working with recipes.............................................................................................5-25 5.8 Process Datalog............................................................................................... 5-27 5.8.1 Select Log page ......................................................................................................5-27 5.8.1.1 Saving a log file as text for use in Microsoft Excel™...........................5-28 5.8.2 Run log page ..........................................................................................................5-31 5.8.3 Leak detection and MFC calibration log page.....................................................5-32 5.9 Operator adjustments .................................................................................... 5-33 5.9.1 Adjusting the N2 outlet pressure to the system ...................................................5-33 5.9.2 Manually controlled valve with outlet pressure gauge ......................................5-33 5.9.3 Rotary/dry pump N2 purge flow rate adjustment................................................5-34 5.10 PC 2000 Software Reference .......................................................................... 5-35 5.10.1 Pump Control Page ..............................................................................................5-35 5.10.2 Service mode page ...............................................................................................5-38 5.10.2.1 Exiting from service mode .....................................................................5-39 5.10.3 ALD Heater Presets page .....................................................................................5-40 5.10.3.1 Autotune zone heaters..........................................................................5-40 5.10.4 System Log page ..................................................................................................5-42 5.10.5 Recipe page ..........................................................................................................5-43 5.10.6 Production mode page ........................................................................................5-45 5.10.7 Chamber 1 Process Control page ........................................................................5-46 5.10.8 Leak Detection page............................................................................................5-51 5.10.9 Mass flow calibration page .................................................................................5-53 5.10.10 Tolerances page .................................................................................................5-54 Printed: 06 November 2007 09:42 Operating Instructions Page 5-1 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual 5.10.10.1 Buttons ................................................................................................... 5-55 5.10.10.2 System setup timers panel .................................................................... 5-55 5.10.10.3 Process setup timers panel.................................................................... 5-55 5.10.10.4 RF Generator 1 tolerance panel ........................................................... 5-55 5.10.10.5 RF generator capacitor presets panel .................................................. 5-56 5.10.10.6 Gas tolerance panel............................................................................... 5-56 5.10.10.7 ICP generator tolerance panel ............................................................. 5-56 5.10.10.8 Chamber venting times panel .............................................................. 5-58 5.10.10.9 Heater tolerance panel ......................................................................... 5-58 5.10.10.10 APC Tolerance panel ............................................................................. 5-58 5.11 Log files........................................................................................................... 5-59 Fig 5-1: Controls and indicators ............................................................................................... 5-3 Fig 5-2: Typical system alert ................................................................................................... 5-15 Fig 5-3: PC 2000 screen header .............................................................................................. 5-17 Fig 5-4: Typical recipe page.................................................................................................... 5-23 Fig 5-5: Step Commands pop-up menu ................................................................................. 5-25 Fig 5-6: Typical select Log page ............................................................................................. 5-27 Fig 5-7: Typical run log page.................................................................................................. 5-31 Fig 5-8: Typical leak detection and MFC calibration log page ............................................ 5-32 Fig 5-9: N2 Pressure regulator/gauge..................................................................................... 5-33 Fig 5-10: Typical Pump Control page..................................................................................... 5-35 Fig 5-11: Pump control page vacuum mimic......................................................................... 5-36 Fig 5-12: Pump control page operator interface.................................................................. 5-37 Fig 5-13: Service mode page .................................................................................................. 5-38 Fig 5-14: Heater Presets page ................................................................................................ 5-40 Fig 5-15: System Log page...................................................................................................... 5-42 Fig 5-16: Recipe page.............................................................................................................. 5-43 Fig 5-17: Typical production mode page............................................................................... 5-45 Fig 5-18: Chamber 1 process control page ............................................................................ 5-46 Fig 5-19: Leak Detection page ............................................................................................... 5-51 Fig 5-20: Mass flow calibration page..................................................................................... 5-53 Fig 5-21: Process tolerances page .......................................................................................... 5-54 Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-2 of 60 Printed: 06 November 2007 09:42 System Manual= RKN lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i fåíêçÇìÅíáçå= This section contains instructions for the operation of the lé^i=system. The instructions are supplemented by descriptions of the system’s controls and indicators, and control software. The operating facilities comprise the following: RKNKN a) Controls and Indicators Provide the functions necessary to power the system up, shut the system down in an emergency, open and close the process chamber, and shut the system down. b) PC 2000 Software Provides the operator interface to automatically control and monitor the system hardware. `çåíêçäë=~åÇ=áåÇáÅ~íçêë= The controls and indicators are mounted on the front of the console as shown in Fig 5-1. Fig 5-1: Controls and indicators The controls and indicators comprise: Chamber Hoist buttons and up/down selection switch: These controls raise and lower the chamber top to allow access to the chamber interior. See sub-section 5.5, page 5-10, for details. Emergency Off (EMO) button: When pressed, the system is shut down. See sub-section 5.3, page 5-6 for details. Power On indicator: Indicates that 3-phase electrical power is connected to the machine. System On indicator: Indicates that the system is powered up. Printed: 06 November 2007 09:42 Operating Instructions Page 5-3 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKNKO System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System On button: Switches the system power on. System Off button: Switches the system power off. m`=OMMM=pçÑíï~êÉ=lîÉêîáÉï= The PC 2000 software provides the user interface for automatically controlling and monitoring the system hardware. The software is a Microsoft Windows™ application, running on a PC (i.e. the system controller). The software provides the following facilities: a) Multi-level password protected logging on. b) Vacuum system control for pumping and venting the system. c) Service mode for individual control of system components d) Display of system interlock status. e) Display of system data, e.g. RF generator cumulative on time. f) System log. g) Recipe creation and editing. h) Manual process run. i) Automatic process run using recipes. j) System vacuum leak checks. k) Mass flow controller calibration. l) Data logging. The facilities are presented to the operator as function-related screens selected via menus. These screens and menus are introduced as the relevant operation procedures are described in the following sub-sections. A reference guide of all screens is given in sub-section 5.10, page 5-35. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-4 of 60 Printed: 06 November 2007 09:42 System Manual= RKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i póëíÉã=éçïÉêJìé= This sub-section describes the initial power-up (switch on) of the system. It assumes that the system is switched OFF at the wall-mounted safety isolation box. WARNING BEFORE POWERING THE SYSTEM UP, CHECK THAT: A) THE ‘ON-SITE’ MAINTENANCE LOG SHOWS THAT THE SYSTEM IS IN A FIT STATE FOR CUSTOMER OPERATION. B) ALL DOORS ARE CLOSED AND ALL COVERS ARE IN PLACE. C) THERE IS NO VISIBLE DAMAGE TO THE SYSTEM. To power up the system, proceed as follows: 1) Ensure that all manually operated cooling water taps are turned ON. 2) Ensure that the compressed air supply is ON. CAUTION If the gas lines may contain air, do not open any gas taps. Continue with the start up procedure, then use the system to evacuate the lines one at a time. When it is certain that the air has been removed and there are no leaks, open the gas taps. 3) If you are certain that the gas lines do not contain air, turn all the manually operated gas taps (on gas cylinders etc.) to ON. 4) Ensure that all covers and panels are fitted and secured. 5) Open the console door, then set all Remote/Local switches on the panel-mounted units (RF Generator, etc) to REMOTE. 6) Switch ON all the panel-mounted units. 7) Ensure that the serial communications cable from the remote PC system controller is plugged into the ‘D’ socket located at the rear of the console. 8) Check that the Emergency Stop button is OUT. 9) Set the wall-mounted safety isolation box switch to ON (I). 10) Ensure that the Power On LED (located on the system console) is illuminated. 11) Operate System ON button (coloured green), located on the console front panel behind the console door. 12) Ensure that the System On LED (located on the system console) is illuminated. 13) Switch the remote PC system controller ON. Printed: 06 November 2007 09:42 Operating Instructions Page 5-5 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKP System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= pÜìííáåÖ=íÜÉ=ëóëíÉã=Ççïå=áå=~å=ÉãÉêÖÉåÅó= If you need to shut the system down in an emergency, e.g. danger to personnel, fire etc., press the red Emergency Stop button, located on the control panel at the front of the console. This action will switch off all electrical power with the following exceptions: a) Mains input cable. b) Main contact breaker and associated contactor in the power box. c) 24V dc Power Supply in the power box. d) Power box cooling fan. When any faults have been cleared and the system is safe to operate, restart the system using the procedure given in sub-section 5.4.6. RKQ póëíÉã=ëÜìí=Ççïå=~åÇ=êÉëí~êí= The situations, which necessitate system shut down, are given in sub-sections 5.4.1 to 5.4.4. RKQKN bãÉêÖÉåÅó=ëÜìí=Ççïå= In an emergency, e.g. risk of physical injury, fire, etc., shut the system down by pressing the Emergency Off (EMO) button. This will disable all power outputs from the power box (except for low voltage supplies). When any faults have been cleared and the system is safe to operate, restart the system using the procedure given in sub-section 5.4.6. RKQKO oçìíáåÉ=ëÜìí=Ççïå= When all processing operations are completed and the system is to be shut down for maintenance and repair, use the Shut down procedure given in sub-section 5.4.5, page 5-7. On completion of maintenance and/or repair, restart the system using the System power up procedure, given in sub-section 5.2, page 5-5. RKQKP j~áåë=mçïÉê=Ñ~áäìêÉ= A mains power failure will halt all system functions. When power is restored, restart the system using the procedure given in sub-section 5.4.6. RKQKQ pçÑíï~êÉ=~Äçêí= To halt processing, click on the STOP ALL AUTO PROCESSES button which is displayed at the top of the page on all screens. Clicking on this button will halt the current process. Also, an Automatic Process Shutdown dialogue is displayed asking you if you wish to shut down the total system. Clicking on Yes will: Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-6 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= a) Switch the RF power generator(s) OFF. b) Switch the pumps OFF. c) Close the APC and normally-closed vacuum valves. d) Switch the process gases OFF. lé^i After clicking on Yes, the system should be restarted using the procedure given in sub-section 5.4.6. Clicking on the No button in the Automatic Process Shutdown dialogue will limit the abort to the actions already done, i.e. stop all automatic recipes. Further processing operations (automatic or manual) can then be carried out. RKQKR póëíÉã=ëÜìíJÇçïå=éêçÅÉÇìêÉ= This procedure assumes that the process run is finished and that the system is to be shut down for maintenance or repair. 1) Ensure that the system has been vented, and all pumps are switched off. 2) Exit from the PC 2000 software by clicking on the System button, then on the Exit option. 3) Exit from Windows. 4) Turn the PC controller OFF. 5) At the Main Console, press the OFF button (coloured red). 6) Switch off and lock off the wall-mounted safety isolation box. 7) Turn off the compressed air supply. 8) Ensure that all heated components have cooled to ambient temperature, then turn off the cooling water. Printed: 06 November 2007 09:42 Operating Instructions Page 5-7 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= WARNING BEFORE PROCEEDING, CHECK THAT THE POWER ON INDICATOR ON THE CONSOLE IS EXTINGUISHED. IF IT IS ILLUMINATED, PARTS OF THE SYSTEM ARE STILL ELECTRICALLY ENERGISED AND THE SYSTEM IS THEREFORE UNSAFE FOR MAINTENANCE. 9) Turn all manual gas taps on the Main Console, gas pod and compressed gas cylinders OFF. WARNING BEFORE REMOVING ANY OF THE SYSTEM PANELS OR COVERS, WAIT AT LEAST FIVE MINUTES AFTER HAVING SWITCHED OFF THE WALL-MOUNTED SAFETY ISOLATION BOX. THIS WILL ENSURE THAT ELECTRICAL CHARGES WILL HAVE DECAYED TO A SAFE LEVEL. RKQKS póëíÉã=êÉëí~êí=ÑçääçïáåÖ=~å=ÉãÉêÖÉåÅó=ëíçéI=éçïÉê=Ñ~áäìêÉI=çê= ëçÑíï~êÉ=~Äçêí= A power failure, or emergency stop will halt all system functions. A software abort, (by clicking on the STOP ALL AUTO PROCESSES button, then clicking on Yes in the Automatic Process Shutdown dialogue), also halts the machine quickly. When power is restored, and it is safe to turn on or restart the system, use the following procedure: 1) Turn off the machine at the System Off switch (coloured red, located on the console front panel behind the console door). 2) Ensure that the System Power LED is not illuminated. 3) Turn on the machine at the System On switch. 4) Ensure that the System Power LED is illuminated. NOTE: RKQKT System and data log files may have been corrupted. Refer to sub-section 5.11 (page 5-59) for details. póëíÉã=êÉëéçåëÉ=íç=äçëë=çÑ=ëÉêîáÅÉë= This sub-section briefly describes the system’s response to the loss of services. bäÉÅíêáÅ~ä= Process and pumps stop. Air operated gas and vacuum valves shut. Loss of one of three phases: rotary vacuum pump stops. If the phase powering the process controller remains live then the process aborts, all valves shut but the system controller retains information on the current state of the machine. If the process controller phase is lost, then current information is lost. `çãéêÉëëÉÇ=~áê= All air operated gas inlet and vacuum valves shut. (Exceptions: air-operated valves with electrical solenoids unaffected; normally open gas interlock valves open). Gas flows stop and the chamber is not pumped. Process power(s) are turned off as soon as a flow or pressure exceeds a tolerance band - normally within 5 seconds. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-8 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i `ççäáåÖ=ï~íÉê= Most dry pumps have their own internal over-temperature switches. Loss of flow for these pumps will eventually cause a temperature trip causing a process abort (process chamber pump) and the relevant pump to be switched off. Devices such as turbo pumps have their own internal protection against overheating and are not protected by external flow switches. mêçÅÉëë=Ö~ëÉë= Loss of process gas is detected when a mass flow controller goes out of tolerance during process. The active process devices (normally plasma power) are paused, and gas flows remain active. The process will resume automatically if gas is restored. s~Åììã=éìãéë= An auxiliary circuit on the pump contactor detects pump failure due to overload or short circuit, and the process gases are immediately halted. If a rotary vane or dry vacuum pump stops pumping for other reasons during a process, e.g. if it fails or its power is disconnected, and the vacuum interlock switch’s contacts remain closed, process gas will continue to flow into the process chamber. Gas flow will stop when the chamber pressure exceeds the vacuum switch trip level of 600 mbar absolute. The front-end software will show the interlock status as ‘fault’. WARNING DISCONNECTING THE POWER TO AUXILIARY EQUIPMENT, ESPECIALLY VACUUM PUMPS, WHILE RUNNING A PROCESS CAN CAUSE A HAZARD IN THE PROCESS CHAMBER. ENSURE THAT THE SYSTEM IS SHUT DOWN USING THE PROCEDURE GIVEN IN SUB-SECTION 5.4.5 BEFORE DISCONNECTING ANY POWER CABLES FROM THE POWER BOX, OR SWITCHING OFF ANY ELECTRICAL SUPPLIES TO AUXILIARY EQUIPMENT. WARNING IF THE EQUIPMENT HALTS DURING PROCESS BECAUSE THE VACUUM SWITCH HAS OPENED, THERE MAY BE A SERIOUS GAS HAZARD IN THE CHAMBER AND PUMPING LINES. ASSESS THE RISKS BEFORE TRYING TO PUMP OR VENT THE CHAMBER. PERSONAL PROTECTIVE EQUIPMENT MAY BE NECESSARY. Printed: 06 November 2007 09:42 Operating Instructions Page 5-9 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKR lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual léÉåáåÖ=~åÇ=ÅäçëáåÖ=íÜÉ=éêçÅÉëë=ÅÜ~ãÄÉê= The process chamber can be opened to allow access to its interior for handling wafers. Opening is achieved by a pneumatically-operated hoist which raises the chamber lid and rotates it 90 degrees. The hoist is controlled by an up/down selection switch and two hoist buttons mounted on the control panel. The hoist buttons are located one at each end of the control panel, so that operation of the hoist requires the use of two hands. This design is a safety feature to reduce the risk of trapping the users fingers etc. in the pinch point formed between the two process chamber halves, and to prevent inadvertent chamber hoist operation. NOTES: When compressed air is first applied to the system, the initial chamber lift movement will be rapid unless the Hoist buttons are operated intermittently. It is permissible to stop hoist travel part way and to resume the travel or to reverse its direction. WARNING PINCH POINT - WHEN THE CHAMBER LID IS OPENED OR CLOSED, LIMBS, FINGERS ETC CAN BECOME TRAPPED BETWEEN THE LID AND THE MAIN UNIT RESULTING IN SEVERE INJURY. Ensure that all personnel are kept clear of the chamber lid when it is opened or closed. Opening or closing the chamber lid requires both hoist buttons (located at either end of the control panel) to be pressed. This feature is intended to prevent accidental operation of the hoist. When operating the hoist buttons, use one hand to press each button. Do not attempt to bypass this safety feature. Opening the process chamber To open the process chamber when it has fully vented, use the following procedure: 1) At the control panel, set the up/down selection switch to its Chamber Up position. 2) Press both hoist buttons simultaneously. The chamber lid will raise and rotate. 3) When the chamber lid is fully raised and rotated, release both hoist buttons. Closing the process chamber To close the process chamber, use the following procedure: 1) At the control panel, set the up/down selection switch to its Chamber Down position. 2) Press both hoist buttons simultaneously. The chamber lid will lower and rotate. 3) When the chamber lid is fully lowered and rotated, release both hoist buttons. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-10 of 60 Printed: 06 November 2007 09:42 System Manual= RKS lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i léÉê~íçê=Åçåíêçä= This sub-section gives the procedures for operating the system. Using the software requires the operator to be familiar with the Microsoft Windows™ environment. For details, refer to the Windows on-line help and tutorials. RKSKN qìêåáåÖ=ëÅêÉÉå=ë~îÉêë=~åÇ=éçïÉê=ë~îÉê=çéíáçåë=çÑÑ= CAUTION When running the PC 2000 software, using a Screen Saver or allowing the PC to enter any of the Power Saver modes can cause the PC to lose communications with the PLC. Before starting the PC 2000 software, ensure that Screen Savers and all Power Saver options are turned off. A procedure to do this is given in the following text. Use the following procedure to turn the screen savers and power saver options off. Note that this procedure is applicable to Microsoft Windows XP™; for other versions of Windows, refer to the on-line help. 1) Right-click anywhere in a clear area on the Windows desktop and then, on the displayed menu, select the Properties option. The Display Properties dialogue box is displayed. 2) Select the Screen Saver tab. 3) In the Screen Saver drop-down list, select the (None) option. 4) Click on the OK button. 5) On the taskbar, select the Start button, then the Settings option, then select Control Panel. The control panel is displayed. 6) Select Power Options. The Power Options Properties dialogue is displayed. 7) Ensure that all power scheme options are set to ‘Never’. If necessary, use the dropdown lists to select the ‘Never’ option. 8) Click on the OK button. 9) Close the Control Panel. Printed: 06 November 2007 09:42 Operating Instructions Page 5-11 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKSKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual içÖÖáåÖ=çå= To log on to the PC 2000 software, proceed as follows: RKSKOKN 1) On the PC Desktop, select the PC 2000 icon . The PC 2000 splash screen is loaded, and then the Access Control dialogue is displayed: 2) Enter your name and password in the appropriate data fields (note that data entry in the Password field is case sensitive), and then select the Verify button. If you make a mistake in entering your name and password, select the Verify button and then re-enter the data. After verification of the entered data, your log-on status is displayed in the Current User and Access level fields. 3) Select the OK button. The PC 2000 software continues to load, then the Pump Control page is displayed. bÇáíáåÖ=ìëÉêë=ÇÉí~áäë= Users details, i.e. names, passwords and facility access options can be edited by a person logged on as a Manager. To do this, use the following steps: 1) Log on as a Manager (see sub-section 5.6.2). 2) Select the System Menu, and then the Password option. The Access Control dialogue box is displayed. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-12 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i 3) Select the Edit Users button. The Edit User List is displayed. 4) To add a new user, click on the Name and Password cells then enter the required details (remember that text entered into the Password cell is case sensitive). Clicking on the Access Level cell will display a scrollable drop-down list with the following options: Option Quit View_Only User Production Maintenance Manager Printed: 06 November 2007 09:42 Result Exit from the drop-down list. All Facilities automatically disabled. A set of Facilities can be selected, see Step 5. Production mode; see sub-section 5.6.11, page 5-22. A special set of Facilities is automatically enabled. Note that this access level has its own recipes and steps for maintenance and does not allow access to process recipes and steps, or allow the system log to be viewed. All Facilities automatically enabled. Operating Instructions Page 5-13 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= 5) System Manual Select one of the access levels for the new user. Similarly, existing Names, Passwords and Access Levels can be edited. In addition, existing entries can be removed from the list by selecting a name and then selecting the Delete button. 6) The Facilities enabled for ‘User’ (selected in Step 4) can be edited by selecting the Facilities button while the cursor is in the name field for that user. This will display the Edit User Facilities dialogue box. 7) Click on the appropriate checkboxes to enable the facilities available to the selected name (9 indicates enabled). Click on the OK button to accept the entered data and exit. Note that the enabled facilities are dependent on the name and not on the access level, e.g. two people logged on as users can have different sets of facilities enabled. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-14 of 60 Printed: 06 November 2007 09:42 System Manual= RKSKP lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i póëíÉã=~äÉêíë= System alerts are displayed when PC 2000 detects an event that requires the attention of the user. Each alert is automatically categorised depending on the nature of the event and the response required by the user. The category of the event can range from a warning indicating that a service parameter is out of tolerance to a process abort indicating that a process setpoint has been out of tolerance for so long that the process cannot be completed. A typical system alert is shown in Fig 5-2. System Alert banner System Alert dialogue Fig 5-2: Typical system alert The alert is displayed as a banner in the menu bar at the top of the screen with an associated dialogue in the main screen area. Note that more than one alert can be active at the same time, each requiring action by the user in turn. There are three categories of alert indicated by the colour and text displayed in the banner and dialogue: Blue Warning e.g. water flow low. Yellow Hazard – not currently used. Red Process abort, e.g. high reflected RF power. A user logged on at any access level can close the alert dialogue, but only a user logged on as a system manager can clear the alert banner from the menu bar. The dialogue options are: Accept button: System Managers only. Clear the alert and log it. Next button: View the next alert. Cancel button: System Managers only. Clear the alert, do not log it. Printed: 06 November 2007 09:42 Operating Instructions Page 5-15 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Continue button: Close the alert dialogue box – the alert banner remains displayed on the menu bar. Note that option buttons that are not available (i.e. Accept and Cancel due to user ‘logged on’ status and Next when there is only one active alert) are greyed out. The alert message usually contains an adequate description of the detected event. If it is a service fault (water flow, purge gas etc.) then verify that the service is available to the machine as soon as possible. Depending on the nature of the service, the system may allow the machine to continue to operate, so that the current process can be completed. Do not start a new process before checking the service. The red alerts are often due to a process setpoint being out of tolerance for too long. In these cases, the process is halted by the system. If it is authorised to resume processing with a parameter deviation then: RKSKQ 1) Check the most recent process log to find the process time remaining. 2) Construct a new process with a modified process time and check the ‘Ignore tolerance’ option. Note that this removes all tolerance checking. The machine should be monitored by an operator for further deviations when operated in this condition. sáëì~ä=~åÇ=~ìÇáÄäÉ=ï~êåáåÖë=EáÑ=ÑáííÉÇF= Visual and audible system warnings are provided by a light beacon tower attached to the rear of the system console. The basic functionality of the light beacon tower is defined in Table 5.1. Light tower colour System Status Amber Standby at base pressure – ‘Ready for Process’. Continuous Green Process in progress Flashing Green Process complete – will continue to flash until the process chamber is vented and opened, then all tower lamps are extinguished. Red with buzzer on Alarm – fault must be rectified. Note when an alarm is accepted, the alarm will keep recurring until the fault is cleared or rectified. Table 5.1: Light beacon tower functionality If the process complete (end of batch) status occurs: Note the buzzer can be turned ON/OFF by selecting the audible warning button status bar (you will be prompted for confirmation). Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-16 of 60 on the Printed: 06 November 2007 09:42 System Manual= RKSKR lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i rëáåÖ=m`=OMMM=ëçÑíï~êÉ= The PC 2000 software facilities are access from the screen header which is displayed on all screens (pages). The screen header is shown in Fig 5-3. LOG-ON STATUS PAGE TITLE SYSTEM LOG FILE SPACE SYSTEM ALERT BANNER STOP ALL AUTO PROCESSES BUTTON Fig 5-3: PC 2000 screen header The use of the screens will be described in the relevant procedures. Remember that a full description of each screen’s features is given in the PC 2000 Reference Guide. See sub-section 5.10, page 5-35. Printed: 06 November 2007 09:42 Operating Instructions Page 5-17 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKSKS lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual mìãéáåÖ=íÜÉ=ëóëíÉã=Ççïå= To pump the system down, use the following procedure: 1) Ensure that the Pump Control page is displayed (if necessary, select the System Menu, then the Pumping option). 2) Ensure that the status indicators are coloured green: 3) Ensure that the process chamber lid is in its ‘down’ position. If you intend to carry out a manual or automatic process run, you will need to open the process chamber lid, place a wafer on the wafer holder in the process chamber, then close the process chamber lid. See sub-section 5.5, page 5-10 for the process chamber opening/closing procedure. 4) Select the SET BASE PRESSURE button, then enter the required process chamber base pressure if different from the default. Click OK. 5) Select the Evacuate button for the process chamber. You will be prompted to enter a wafer identity; either enter the identity and click OK, or click Cancel (to pump down without a wafer in the process chamber). The relevant valves will operate and the process chamber will be pumped down. NOTE: Turning off the rotary vane pump will cause all process and pumping actions using that pump to stop. WARNING PARTS OF THE EQUIPMENT MAY BE TOO HOT TO TOUCH. E.G THE PROCESS CHAMBER OPERATES AT 180 ºC AND THE LOWER ELECTRODE (TABLE) OPERATES AT 400 ºC. DO NOT TOUCH THESE COMPONENTS IF THEY ARE HEATED. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-18 of 60 Printed: 06 November 2007 09:42 System Manual= RKSKT lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i sÉåíáåÖ=íÜÉ=ëóëíÉã= WARNING CONTACT WITH TOXIC GASES CAN CAUSE DEATH OR SERIOUS INJURY. BEFORE VENTING THE PROCESS CHAMBER, ALWAYS ENSURE THAT THE SYSTEM IS ADEQUATELY PURGED AND PUMPED. To ensure the process chamber is safe to open and residuals are pumped away as required, an automatic pump/purge cycle is incorporated into the system. This cycle can be pre-set to be performed from a minimum of five to a maximum of 100 cycles Do not vent a system which has used toxic gases unless the system has been adequately pumped first. For example: Gases having Threshold Limit Values (TLVs) of 1ppm or below, e.g. Chlorine, require at least 20 minutes pumping before venting. After venting, there may still be residual gases in the process chamber. Consider wearing suitable personal protection, e.g. a respirator. To vent the system, use the following steps: 1) Ensure that the Pump Control page is displayed (if necessary, select the System Menu, then the Pumping option). 2) Select the STOP button, then the VENT button for the process chamber. Note that the vent sequence is controlled by a timer to allow time for the turbo pumps to be purged. When the ‘Vent Time Left’ timer has decremented to zero, the process chamber has been vented. Do not attempt to open the process chamber lid until the vacuum switch has changed status, i.e. to its high-pressure status (In this condition, on the Pump Control page the vacuum status field will display ‘FAULT’). The vent valve is left on for a period after the chamber opens, to ensure that venting is fully completed, even if lid opening is actuated early. RKSKU j~åì~ä=éêçÅÉëë=êìå= 1) Pump the system down as detailed in sub-section 5.6.6, page 5-18. 2) Check that the system has pumped down to base pressure. (The process chamber message panel should display ‘Base Pressure reached’). 3) Select the Process Menu, then the Chamber 1 option. The Process Control page is displayed. 4) Enter the parameters required for the manual process run, e.g. Step Time, RF generator power, table temperature, chamber pressure, and gas demands etc. 5) Click the START button. (Note that if this button is not active, the chamber has not reached base pressure.) The process will commence. Printed: 06 November 2007 09:42 Operating Instructions Page 5-19 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= NOTES: a) You can pause the process at any time by selecting the PAUSE button. This will cause the Step Time and the plasma power to stop with the current step time indicated. Re-starting the process will cause the process to continue from the time it was paused. If, during the pause period, you change any of the process parameters, e.g. gas demand, pressure etc., you must press the START button for the changes made to come into effect, this will cause the step timer to continue from the time it was paused. b) You can stop the process at any time; the message ‘Process Complete’ will be displayed, if required, you can then run the same or another process. When the ‘Process Complete’ message is displayed, the system can be vented (see sub-section 5.6.7 page 5-19), or another manual process run carried out. RKSKV ^ìíçã~íáÅ=éêçÅÉëë=êìå= An automatic process run as described in this sub-section can be carried out by a user logged on as a Manager. See sub-section 5.6.10, page 5-21 for details of a single button automatic process run which can be carried out by any user. 1) Pump the system down as detailed in sub-section 5.6.6, page 5-18. 2) Check that the system has pumped down to base pressure. (The process chamber message panel should display ‘Base Pressure reached’). 3) Select the Process Menu, then the Recipe option. The recipe page is displayed. 4) Select the Chamber button. 5) Select the Load button then select the required recipe from the displayed list. Click OK. 6) To run the loaded recipe, select the Run button. The recipe will be automatically run and the Chamber 1 page will be displayed to allow you to monitor its progress. NOTES: a) You can pause the process at any time by selecting the PAUSE button. This will cause the Step Time and the plasma power to stop with the current step time indicated. Re-starting the process will cause the process to continue from the time it was paused. If, during the pause period, you change any of the process parameters, e.g. gas demand, pressure etc., you must press the START button for the changes made to come into effect, this will cause the step timer to continue from the time it was paused. b) You can stop the process at any time; the message ‘Process Complete’ will be displayed, if required, you can then run the same or another process. When the ‘Process Complete’ message is displayed, the system can be vented (see sub-section 5.6.7 page 5-19), or another process run carried out. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-20 of 60 Printed: 06 November 2007 09:42 System Manual= RKSKNM lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i páåÖäÉ=Äìííçå=~ìíçã~íáÅ=éêçÅÉëë=êìå= A single button automatic process run allows a complete process to be run automatically. The run starts by pumping the system down, carrying out the process and then venting the system. The automatic process run can be carried out by a user logged on at any access level, e.g. Manager, User etc.. Before starting an automatic process run, the rotary vane/roots/dry pumps must be started. It is suggested that once the system is powered up, the Manager logs on, starts the pumps and then re-logs on for the User or Production Operator to carry out the automatic process run(s). When the pumps have started and the User or Production Operator is logged on, carry out the automatic process run using the following steps. 1) Place the wafer to be processed on the table. 2) Close the process chamber lid. 3) In PC 2000, select the System menu and then the Recipe option. The Recipe page is displayed. 4) Load the required recipe. 5) Select the Run button. The following sequence will be automatically carried out: 6) i) The process chamber will start to evacuate. ii) When the process chamber reaches base pressure, the process will commence. iii) When all of the process steps have completed, the process chamber will be vented. When the vent sequence is completed, open the process chamber and remove the wafer. To process another wafer, repeat the above steps from Step 1). If running the same recipe, Step 4 can be skipped otherwise load another recipe. NOTES: a) You can pause the process at any time by selecting the PAUSE button. This will cause the Step Time and the plasma power to stop with the current step time indicated. Re-starting the process will cause the process to continue from the time it was paused. If, during the pause period, you change any of the process parameters, e.g. gas demand, pressure etc., you must press the START button for the changes made to come into effect, this will cause the step timer to continue from the time it was paused. b) You can stop the process at any time; the message ‘Process Complete’ will be displayed, if required, you can then run the same or another process. Printed: 06 November 2007 09:42 Operating Instructions Page 5-21 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKSKNN System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= mêçÇìÅíáçå=ãçÇÉ= The production mode facility is provided to make operation of the system as simple as possible. In this mode, the user is provided with a ‘special’ Recipe page which allows the user to load and run a recipe and then vent the system. To carry out production mode processing, use the following steps: 1) Log on as a Manager. 2) Start the external rotary vane pump/dry pump. 3) Select the system menu, then select the Passwords option. 4) Log on using the appropriate user name and password for the production mode. The Production mode page is displayed. See sub-section 5.10.6, page 5-45. 5) Open the process chamber and place the wafer to be processed on the table. 6) Select the Load button, then select the required recipe from the displayed list and select OK. 7) Enter a batch identity. 8) Select the Run button. Note that this button only becomes active when a recipe has been loaded and a batch identity has been entered, and the associated indicators are coloured green. The system will automatically pump down and the recipe will run. 9) When the ‘Process Complete’ message is displayed, select the Vent button to vent the system. Note that a Vent Time Remaining counter indicates venting progress. 10) Open the process chamber and remove the processed wafer. 11) You can now carry out a further process by repeating steps 5) to 10). If running the same recipe Step 5 can be skipped, otherwise load another recipe. 12) On completion of production mode processing, log on as a Manager. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-22 of 60 Printed: 06 November 2007 09:42 System Manual= RKT lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i `êÉ~íáåÖ=~åÇ=ÉÇáíáåÖ=êÉÅáéÉë= Fig 5-4: Typical recipe page The Recipe page is used to assemble and store in memory all the set points and instructions which make up a Recipe for an Automatic Mode run. These recipes consist of a sequence of process Steps. ‘Drag and Drop’ facilities are provided to copy library steps into a recipe. NOTE: For a description of the facilities on this page, refer to sub-section 5.10.5, page 5-43. The Recipe option (accessed from the PROCESS menu) displays the recipe page for the process chamber. This page allows you to create / edit recipes and the recipe steps that they contain. NOTE: Before creating / editing recipes, make sure that you understand the operation of key components of the system to ensure that recipes proceed as expected. A recipe is created by adding steps from the Step Library to the Recipe Step Name fields. The recipe is then allocated a Data Log Interval and saved. Printed: 06 November 2007 09:42 Operating Instructions Page 5-23 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKTKN System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= tçêâáåÖ=ïáíÜ=êÉÅáéÉ=ëíÉéë= Recipe steps are stored in the Step Library list. The list can contain any number of steps, depending on available hard disk space. When the displayed list is full, it becomes scrollable to allow you to view all of the list contents. `ob^qb=^=kbt=ob`fmb=pqbm= To create a new recipe step, use the following procedure: 1) In the Step Library panel, select the NEW button. The Step Edit page is displayed. 2) Enter the step parameters as required, then click on OK. The step is automatically saved. To create a recipe step, based on an existing recipe step, use the following procedure: 1) Select a recipe step from the Step Library list, i.e. click on it to highlight it. 2) Select the COPY button. Enter a new step name. 3) Edit the step parameters as required, then click on OK. The new recipe is automatically saved. bafq=^k=bufpqfkd=ob`fmb=pqbm= To edit an existing recipe, use the following procedure: 1) Select a recipe step from the Step Library list, i.e. click on it to highlight it. 2) In the Step Library panel, click on the Edit button. 3) Edit the step’s process parameters as required, then click on OK. The step is automatically saved. NOTE: Changing an existing recipe step will not alter saved recipes which use the old version of that step. abibqb=^=ob`fmb=pqbm= To delete a recipe step, use the following procedure; 1) Select the recipe step from the Step Library list, i.e. click on it to highlight it. 2) Select the DELETE button; the selected recipe step is deleted. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-24 of 60 Printed: 06 November 2007 09:42 System Manual= RKTKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i tçêâáåÖ=ïáíÜ=êÉÅáéÉë= Recipes are ‘built’ using existing recipe steps, and edited as required. Within a recipe, steps can be manipulated using the Step Commands pop-up menu (accessed by clicking on the Recipe Steps field). Fig 5-5: Step Commands pop-up menu The Step Commands pop-up menu provides the following options: Edit Step Enables the selected (highlighted) step to be edited. Repeat Step Repeats all subsequent steps until a Loop Step is reached. This group of steps can be repeated any number of times. (When you select this option, you are prompted to enter the number of times the group of steps is to be repeated.) Loop Step Terminates a Repeat Step group. Insert Step Creates a 'gap' above the selected step to allow another step to be dragged into the list. Delete Step Deletes the selected step from the list. Cancel Closes the Step Commands pop-up menu. _rfia=^=ob`fmb= To build a recipe, use the following procedure: 1) In the Recipe panel, select the NEW button. 2) Click on a recipe step in the Step Library list, hold the left mouse button down then drag the mouse pointer to the Step Name field next to the asterisk (*) then release the mouse button. The step name is displayed in the Step Name field. 3) Repeat 2) as required to add further steps to the recipe. Note that once you have filled the Step Name field, the recipe step list becomes scrollable, enabling you to add a maximum total of 1000 steps. 4) To remove a step from the list, click on it to highlight it then select the Delete step button from the Step Commands pop-up menu. Any further steps will move up the list by one place. 5) To add a step before an existing step, click on the existing step then select the Insert step button from Step Commands pop-up menu. The selected step and all those Printed: 06 November 2007 09:42 Operating Instructions Page 5-25 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= following it will move down the list by one place. You can then drag another step from the Step Library list into the now vacant field. 6) When all steps have been added, enter a time into the Data Log Interval field, then enter a name for the recipe in the Recipe Name field. Finally, select the SAVE button. bafq=^=ob`fmb= To edit a recipe, use the following procedure: 1) Select the LOAD button, then select the recipe to be edited. 2) In the Step Commands pop-up menu, click on the Edit Step button, then edit the process parameters as required. Note that editing a recipe step will not affect the associated step, i.e. a step having the same filename, in the Library of Available Steps. 3) To remove a step from the list, click on it to highlight it then select the DELETE STEP button from the Step Commands pop-up menu. Any further steps will move up the list by one place. To add a step before an existing step, click on the existing step then select the INSERT STEP button. The selected step and all those following it will move down the list by one place. You can then drag another step from the Step Library list into the now vacant field. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-26 of 60 Printed: 06 November 2007 09:42 System Manual= RKU lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i mêçÅÉëë=a~í~äçÖ= All processes are automatically data-logged. The interval between logging events is set in the Recipe screen. The Process Datalog facility allows you to view process data runs and associated comments. The facility comprises three pages: a) SELECT LOG page – allows you to select the process data to view. b) RUN LOG page – lists the selected process data, for all runs except Leak detection runs and MFC calibration runs, with respect to time. c) Leak detection and MFC calibration log page – displays the Leak detection runs and MFC calibration runs in text and graphical formats. These pages are described in the following text. RKUKN pÉäÉÅí=içÖ=é~ÖÉ= The Select Log page is displayed by selecting the Process button, then the Log View option. Fig 5-6: Typical select Log page The page comprises a list of logged events, which can be filtered by type, batch name and time. When the required events have been selected, they can be viewed on a Log View page. Printed: 06 November 2007 09:42 Operating Instructions Page 5-27 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= The facilities provided on the page are as follows: RKUKNKN List of logged events Displays a list of logged events in a date/time sequence. Each event is identified by an icon, date, time, title, duration, name and comments (if present). An event is selected (highlighted) by clicking on it. Delete button Deletes the selected event Undo button Undo the last action Save As Text button Save the selected event as a text file for use in spreadsheets etc. For details of saving a log file as text for use in Microsoft Excel™, see sub-section 5.8.1.1. View Run button Opens either the Run Log page (see sub-section 5.8.2, page 5-31) or, if ) or MFC calibration run ( ) is selected, the either a Leak detection run ( Leak Detect and MFC calibration log page (see sub-section 5.8.3, page 5-32) with the selected log data displayed. Filter by Type list A list of event types with associated checkboxes. Use this panel to select the events to display in the Event list. A checkbox showing an ‘x’ indicates that the associated event type will not be displayed. A checkbox showing a ‘9’ indicates that the associated event type will be displayed. Filter by Batch Name field Enter a batch name to list only logged events associated with that batch. Filter by time fields and buttons Use these controls to select events occurring in a time range to be displayed. Hide items before selection button Displays all events after and including the highlighted event. Hide items after selection button Displays all events before and including the highlighted event. Show all items button Displays all previously hidden events. p~îáåÖ=~=äçÖ=ÑáäÉ=~ë=íÉñí=Ñçê=ìëÉ=áå=jáÅêçëçÑí=bñÅÉä»= NOTE: OIPT now supplies customers with comprehensive software to view/analyse PC 2000 log files. The software, LogViewer, is provided on the system PC. For full details of LogViewer, refer to its Manual by following the shortcut on the desktop of your system PC. Any logged process run can be saved at text and then opened in Excel for viewing, analysing, etc. To do this, use the following steps: Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-28 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i 1) On the Select Log page, select the required process run (any multiple steps will be automatically highlighted). 2) Select the Save As Text button. The Save As dialogue is displayed. 3) Navigate to the target location for the log text file, enter a filename and in the ‘Save as type:’ field select ‘Log Text Files (*.Txt)’ from the drop-down list. If saving to a floppy disk, label it and insert into the drive now. 4) Select the Save button. The text file is saved in your chosen location. 5) Start Excel and then in the File menu, select the Open option. The ‘Open’ dialogue is displayed. 6) Navigate to the location of the saved text file and in the ‘Files of type:’ field, select ‘All Files (*.*)’ from the drop-down list. Select the required text file and then select the Open button. The ‘Text Import Wizard – Step 1 of 3’ dialogue is displayed: 7) In the ‘Original data type’ panel, select the ‘Delimited’ option and then select the Next > button. The ‘Text Import Wizard – Step 2 of 3’ dialogue is displayed: 8) In the ‘Delimiters’ panel, select the ‘Comma’ checkbox. Select the Next > button. The ‘Text Import Wizard – Step 3 of 3’ dialogue is displayed: Printed: 06 November 2007 09:42 Operating Instructions Page 5-29 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual 9) In the ‘Column data format’ panel, ensure that the ‘General’ option is selected and then select the Finish button. The process run log data is now displayed in the Excel worksheet. 10) Adjust the column widths so that all text is visible and then save the spreadsheet. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-30 of 60 Printed: 06 November 2007 09:42 System Manual= RKUKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i oìå=äçÖ=é~ÖÉ= The Run Log page is accessed from the Select Run page by clicking on the View Run button ) or MFC calibration run ( ) with any process run other than a leak detection run ( selected. Fig 5-7: Typical run log page The page displays the Parameters, Demands and Readbacks for the selected log data. The facilities provided on the page are as follows: Select Run button Displays the Select Log page. Log information panel Displays details about the selected log data. Parameter list Lists the logged parameter names Demand list Displays the demanded parameter value Readbacks list Displays the logged parameter values with respect to time at the log intervals specified for the process run. The list can be scrolled horizontally either by single readbacks or by page. The list can also be scrolled vertically to display further steps (for multi-step recipes). Printed: 06 November 2007 09:42 Operating Instructions Page 5-31 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= RKUKP iÉ~â=ÇÉíÉÅíáçå=~åÇ=jc`=Å~äáÄê~íáçå=äçÖ=é~ÖÉ= System Manual The leak detection and MFC calibration log page is accessed from the Select Run page by ) or MFC calibration run ( ) and then clicking on selecting either a leak detection run ( the View Run button. Log panel Leak detection run MFC Calibration run MFC calibration trace (Blue) Pressure axis scale controls Leak detection trace (Red) Time axis scale controls Graph panel Fig 5-8: Typical leak detection and MFC calibration log page This page is used to view details of up to six leak check runs and/or MFC calibration runs. Note that Fig 5-8 shows details of a leak test (red trace) and an MFC calibration run (blue trace). The facilities available on this page are: Select Run button Clear buttons Displays the Select Run page. Log panel Displays details of each run in text format. Graph panel Displays a plot of each run (pressure versus time). Each run is represented by a coloured trace as indicated by the palette displayed adjacent to the run data in the Log panel. The graph can be scaled in each axis by the controls located at the bottom-left corner of the graph. Select to remove the associated data from the log panel and graph panel. Note that to re-display the cleared data, you must return to the Select Run page and re-select it. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-32 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= RKV léÉê~íçê=~ÇàìëíãÉåíë= RKVKN ^ÇàìëíáåÖ=íÜÉ=kO=çìíäÉí=éêÉëëìêÉ=íç=íÜÉ=ëóëíÉã= NOTE: lé^i Refer to Section 2 for a description of the Nitrogen distribution circuit. Depending when the system was build, the N2 pressure to the system is controlled by a manually controlled valve with an outlet pressure gauge (see Fig 5-9). The N2 outlet pressure to the system should not usually require adjustment from its factory setting. However, if adjustment is necessary, proceed as follows. WARNING THIS PROCEDURE INVOLVES WORKING ON THE SYSTEM WITH COVERS REMOVED AND WITH THE ELECTRICAL POWER ON. THEREFORE IT MUST ONLY BE CARRIED OUT BY TRAINED AND COMPETENT PERSONNEL WHO ARE AWARE OF THE RISKS INVOLVED. RKVKO j~åì~ääó=ÅçåíêçääÉÇ=î~äîÉ=ïáíÜ=çìíäÉí=éêÉëëìêÉ=Ö~ìÖÉ= 1) Remove system panels as necessary to gain access to the regulator. Outlet pressure control knob Outlet connector Outlet pressure gauge Fig 5-9: N2 Pressure regulator/gauge 2) Adjust the regulator outlet pressure control knob to set the outlet pressure to the maximum which will not open the check valve; normally 0.5 to 0.7 bar gauge as indicated on the regulator gauge. NOTE: Setting the outlet pressure too low will extend system venting times excessively, and may compromise the purge gas flow to the turbo pump if fitted. Setting the outlet pressure too high will open the check valve and waste gas, but will not reduce venting times. 3) Refit all system covers. 4) Carry out a simple process to check that the vent sequence operates correctly. Printed: 06 November 2007 09:42 Operating Instructions Page 5-33 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKVKP lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual oçí~êóLÇêó=éìãé=kO=éìêÖÉ=Ñäçï=ê~íÉ=~ÇàìëíãÉåí= CAUTION If the rotary/dry pump’s N2 purge flow rate is inadequate, damage to the pump could occur. Ensure that the flow rate is set to the value recommended by the pump manufacturer. The rotary/dry pump’s N2 purge flow rate is set at the factory before system shipment and should not need adjustment. However, the pump purge rate will need to be confirmed on installation and at any time the purge gas supply pressure changes significantly. If adjustment is necessary, refer to Appendix R in this manual. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-34 of 60 Printed: 06 November 2007 09:42 System Manual= RKNM lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i m`=OMMM=pçÑíï~êÉ=oÉÑÉêÉåÅÉ= This sub-section gives functional descriptions of the PC 2000 screens. RKNMKN mìãé=`çåíêçä=m~ÖÉ= The Pump Control page is automatically displayed when PC 2000 is first accessed; the page can also be accessed by selecting the System button, then the Pumping option. The Pump Control page is shown in Fig 5-10. Fig 5-10: Typical Pump Control page The Pump Control page provides control and monitoring of the vacuum system. The page has the following features: = Printed: 06 November 2007 09:42 Operating Instructions Page 5-35 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual s~Åììã=ëóëíÉã=ãáãáÅ= The vacuum system mimic is shown in Fig 5-11. PROCESS CHAMBER CHAMBER VENT VALVE GATE VALVE CM GAUGE ISOLATING VALVE ROTARY VANE/DRY PUMP Fig 5-11: Pump control page vacuum mimic Valves are coloured Red when closed and Green when open. Running pumps are indicated by animation. = = = = = Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-36 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i léÉê~íçê=áåíÉêÑ~ÅÉ= The Pump Control page Buttons, controls, indicators and message panels to allow you to control the vacuum system are shown in Fig 5-12. Click to set Process Chamber Base Pressure Process chamber message field Purge cycle panel Process chamber status panel Fig 5-12: Pump control page operator interface The operator interface facilities are labelled in Fig 5-12. The following facilities are provided: a) System status indicators: PSU: Green when the -15V, +15V and +24V dc supplies are healthy. Red when a supply is faulty. N2 Vent Gas: (If fitted) Green when the N2 vent gas supply is healthy. Red when the supply if faulty. Gas Pod Interlock: Green when the Gas Pod cover is fitted correctly. Red when the cover is off. Water Flow GENERATOR: Green when cooling satisfactory. Red when flowswitch is tripped (i.e. cooling flow rate out of tolerance). Water Flow HEATER: Green when cooling satisfactory. Red when flowswitch is tripped (i.e. cooling flow rate out of tolerance). Pump Purge Switch: (If fitted) Green when the pump purge is switched on. Red when it is switched off. Printed: 06 November 2007 09:42 Operating Instructions Page 5-37 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= b) RKNMKO System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Control and status panels for the process chamber. The Control and status panel has associated EVACUATE, STOP and VENT buttons. i) EVACUATE button: Select to pump-down the associated chamber. ii) STOP button: Select to stop either pumping down or venting the process chamber. iii) VENT buttons: Select to vent the associated chamber. c) Mimics of all valves showing open/closed status (coloured green when open, red when closed). d) Rotary pump control. Clicking on the EVACUATE button will switch the pump on (a running rotary pump is indicated by animation). e) A SET BASE PRESSURE button. Select to set the Process Chamber Base Pressure. f) Context related message panel for the process chamber. g) Purge cycle panel. Enter the required number of process chamber pump/purge cycles required (a maximum of 100 cycles can be performed at a single time). The number of purge cycles remaining is displayed. Note that the pump/purge times are set on the tolerances page. pÉêîáÅÉ=ãçÇÉ=é~ÖÉ= The Service Mode page is displayed by selecting the System button, then the Service option. Fig 5-13: Service mode page This page is used during maintenance to manually control system components. Manual control of the following features is available by clicking on them (confirmation is requested before any action is carried out): Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-38 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i Note that moving the mouse pointer over a feature will cause a box to be displayed around the feature indicating that it can be manually controlled. a) Vent valve. b) Gate valve. c) CM gauge isolating valve. d) Fast Valves (click on a valve mimic to open the valve; its mimic will become green). e) Rotary vane/dry pump. The Table Heater button is used to autotune the table heater. The ADD WAFER button is used to inform the system that a wafer is present. This facility would be used if the machine were powered-up with a wafer in the process chamber. The legend on this button changes to KILL WAFER when a wafer is present, enabling the selected wafer to be removed from system memory. RKNMKOKN bñáíáåÖ=Ñêçã=ëÉêîáÅÉ=ãçÇÉ= To exit from service mode, select the system menu and then the Exit Service option. The following dialogue box is displayed: Ensure that there are no personnel close to the system, and then select the OK button. After exiting from service mode, the system configuration will depend on which service mode facilities were used as follows: If no service mode facilities were used, e.g. no valves were open or closed; the system configuration will be the same as it was before entering service mode. If the service facilities were used, the system configuration will depend on which of the facilities were used as follows. To prevent damage to the system, if the process chamber had any of its features altered in service mode, e.g. valves opened/closed, pumps turned on/off etc., it will have its pumping stopped. To return the process chamber to the pumping or vent state, click on the associated Stop button, and then on the Evacuate button or the Vent button as required. The chamber will then pump down or vent. Printed: 06 November 2007 09:42 Operating Instructions Page 5-39 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKNMKP System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= ^ia=eÉ~íÉê=mêÉëÉíë=é~ÖÉ= The Heater Presets page, accessed from the Utilities menu, is used to view, set and save the ALD heater presets. Fig 5-14: Heater Presets page CAUTION If the heaters are not in tolerance, the process will not start. RKNMKPKN ^ìíçíìåÉ=òçåÉ=ÜÉ~íÉêë= All zones can be autotuned at the same time or each zone can be autotuned individually. ^ìíçíìåÉ=~ää=òçåÉë= 1) Ensure the Main Heater and Thermocouples are connected. 2) Select the Enable button for each zone to be autotuned. 3) For each zone to be autotuned, enter the required setpoint. Note that the entered setpoint must be 50 degrees higher than the actual temperature. 4) Select the Set Pump Heaters button to send the setpoints to the PLC. 5) Select the Set Autotune button. 6) When the temperature has reached the selected setpoint wait for 30 minutes. 7) Autotune is now complete. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-40 of 60 Printed: 06 November 2007 09:42 System Manual= 8) lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i Change new setpoint for heating. Enter new value and then select the Set Pump Heaters button. ^ìíçíìåÉ=áåÇáîáÇì~ä=wçåÉë= Example: If there are 20 zones of which 19 zones are OK, only one zone is required to autotune. Alternatively, you may wish to add an extra zone. In these cases, you do not need to autotune all zones. To autotune an individual zone, you must first disable all other zones by clicking on their Enable/Disable buttons so that the ‘Disable’ legend is displayed with a red indicator. After disabling these zones, click on the WarmStart_PLC button. To autotune or retune an individual zone: 1) Select the Enable button for the zone to be autotuned or retuned and disable all other zones. 2) Enter the required setpoint. 3) Select the Set Pump Heaters button. 4) Select the Set Autotune button. 5) When the temperature has reached the selected setpoint wait for 30 minutes. 6) Autotune is now complete. 7) To autotune a further zone, repeat Steps 1) to 6). 8) For all zones disabled in Step 1) click on their Enable/Disable buttons so that the ‘Enable’ legend is displayed with a green indicator. 9) Select the Enable button. 10) Select the WarmStart_PLC button. The ‘Comms OK’ indicator (Green) will change to ‘Comms error’ (Red) for a few seconds and then return to ‘Comms OK’ (Green). NOTES: If any zone’s main or thermocouple is disconnected/reconnected the PLC must have a warm start before the zone can be heated again. After any setpoint change, the Set Pump Heaters button must be selected. When disabling or enabling an individual zone, select the disable/enable button and then select the WarmStart_PLC button Printed: 06 November 2007 09:42 Operating Instructions Page 5-41 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKNMKQ System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= póëíÉã=içÖ=é~ÖÉ= The System Log page is displayed by selecting the System button, then the System Log option. Fig 5-15: System Log page The system log page allows logged system events to be viewed. A filter facility allows the viewed events to be displayed by event type and time of occurrence. The page provides the following features: Event list: A scrollable list of events in date/time order. Each event is categorised by an icon, and an event description is given. Use the scrollbar and associated buttons to move the list up or down by a single event, a page of events or to the end of the list. Delete button Removes the selected event(s) from the Event list. Undo button Only active after a Delete action. Restores the last deleted event. Filter by Event Type panel: A list of event types with associated checkboxes. Use this panel to select the events to display in the Event list. A checkbox showing an ‘x’ indicates that the associated event type will not be displayed. A checkbox showing a ‘9’ indicates that the associated event type will be displayed. Filter by time controls: Use these controls to select events occurring in a time range to be displayed. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-42 of 60 Printed: 06 November 2007 09:42 System Manual= RKNMKR lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Hide events before selection button Displays all events after and including the highlighted event. Hide events after selection button Displays all events before and including the highlighted event. Show all events button: Displays all previously hidden events. lé^i oÉÅáéÉ=é~ÖÉ= The Recipe page is displayed by selecting the Process button, then the Recipe option. Fig 5-16: Recipe page The recipe page is used to create, edit and run recipes. See sub-section 5.7, page 5-23. The facilities on this page are: Chamber button Select to carry out an automatic process run using a recipe. The button’s indicator is coloured yellow when selected. No wafer button Select to carry out an automatic process run without a wafer in the process chamber. The button’s indicator is coloured yellow when selected. Recipe Panel Recipe Name field The name of the currently loaded recipe. Data Log Displays the data log interval, i.e. the time interval between the Printed: 06 November 2007 09:42 Operating Instructions Page 5-43 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Interval field logging of system parameters. Created field The date and time of recipe creation. Recipe length field The length of time taken to run the recipe. Recipe step list A scrollable sequential list of steps contained in the recipe. New button Select to create a new recipe. Load button Select to load an existing recipe. Save button Select to save the current recipe. Run button Select to run the current recipe. Be aware that selecting this button will cause system components, e.g. valves, heaters, etc., to operate! Step Library Panel Edit button Select to edit the selected (highlighted) recipe step. New button Select to create a new recipe step. Copy button Delete button Select to copy the selected recipe (you are prompted for a new step name). Select to delete the selected (highlighted) recipe. Step Library list Displays the recipe steps available in a scrollable list. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-44 of 60 Printed: 06 November 2007 09:42 System Manual= RKNMKS lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i mêçÇìÅíáçå=ãçÇÉ=é~ÖÉ= The production mode page (a typical production mode page is shown in Fig 5-17) is automatically displayed when a user logs on in production mode. To use the production mode facility, refer to sub-section 5.6.11 (page 5-22). Fig 5-17: Typical production mode page The facilities available on this page are: Recipe Name field The name of the currently loaded recipe. The indicator at the right of this field is coloured red until a recipe is loaded when it changes to green. Batch Id field Enter the batch identity of the currently loaded wafer. The indicator at the right of this field is coloured red until a batch identity is entered when it changes to green. Created field The date and time of recipe creation. Recipe Length field The length of time taken to run the recipe. Load button Select to load an existing recipe. Run button Select to run the current recipe. Be aware that selecting this button will cause system components, e.g. valves, heaters, etc., to operate! Note that this button only becomes active when a recipe has been loaded and a batch identity has been entered. Vent button Select to vent the automatic load lock. Printed: 06 November 2007 09:42 Operating Instructions Page 5-45 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKNMKT lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual Vent Time Left counter Indicates the time remaining (in seconds) until the automatic load lock has completely vented. The counter is displayed when the Vent button is selected and remains displayed until the Run button is selected. Recipe step list A scrollable sequential list of steps contained in the recipe. `Ü~ãÄÉê=N=mêçÅÉëë=`çåíêçä=é~ÖÉ= The Chamber 1 page is displayed by selecting the Process button, then the Chamber 1 option. Fig 5-18: Chamber 1 process control page This page is used to set the process parameters either for a manual run, or for a process step to be used in an automatic run recipe. The facilities available on this page are: Process chamber message field Displays context related messages about the process chamber. Log Comment field Used to enter comments about the current process run which can be viewed on the log viewer page. Wafer status field Displays context related messages about the wafer currently in the process chamber. Log Comment button Allows comments about the current process to be entered in the Log Comment message field. While entering a comment, the button title changes to OK to allow the comment to be accepted. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-46 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i Leak Detection button Select to carry out a process chamber leak rate test and to calibrate the MFCs. See sub-section 5.10.8, page 5-51. Start button Select to start a manual process run using the parameters set on this page. Be aware that selecting this button will cause system components, e.g. valves, heaters etc., to operate! Stop button Select to stop the current process step. Pause button Select to pause the current process. Jump button Select to jump to the next process step. Recipe message field Displays information about the current recipe, step, loaded wafer identity, etc.. Step Time fields Enter the required step time in hours:minutes:seconds. While a process is running, the adjacent field displays the time remaining to the end of the step. Log Interval fields Enter the interval required between data logging events. Process status field Indicates the process status; either Ready, Auto or Manual Pump to Pressure checkbox Select to create a pumping step. The system will pump down until the demanded pressure is reached. The step will remain active until this condition is met. The RF Generator is automatically switched off during the step. All setpoints are automatically set to zero, except for base pressure. Pressure fields Enter the required Process Chamber pressure for the step. The measured pressure is displayed in the adjacent field. Ignore Tolerances checkbox Select to disable tolerance checking during the current step. Printed: 06 November 2007 09:42 NOTE: RF power turns on immediately without waiting for flows and pressure to be established. Operating Instructions Page 5-47 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Hold button System Manual Used in multi-step recipes to keep the plasma on between steps. NOTES: The Hold button is only displayed on the Process Control page when a recipe is loaded. The Hold facility can be selected when creating/editing a process step using the Process Editor page. When running the recipe, at the end of the process time for a process step without the Hold button selected, all process setpoints (chamber pressure, helium backing pressure, table temperature, RF power, ICP power, gas flow, etc) are set to zero (off) before starting the next process step. This means that the plasma would be extinguished between two plasma process steps if the Hold button were not selected. When running the recipe, at the end of the process time for a process step with the Hold button selected, all process setpoints (chamber pressure, helium backing pressure, table temperature, RF power, ICP power, gas flow, etc) are set to the values of the next process step to run. This means that the plasma remains on between two plasma process steps if the Hold button is selected. Chamber Pressure readback Displays the current process chamber pressure. TABLE HEATER panel Enter the required table temperature. The current table temperature is displayed. Note that a message ‘AUTO-TUNE RUNNING’ indicates the table temperature is under the control of the calibrated Proportional-IntegralDerivative (PID) controller. Other messages can be displayed, refer to subsection 6.9. For details of the heater temperature controller set-up, refer to subsection 6.9. RF GENERATOR panel Enter the required forward power. The RF on/off status, forward power and reflected power are displayed. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-48 of 60 Printed: 06 November 2007 09:42 System Manual= RF AUTOMATCH panel lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i CAPACITOR 1 field Enter the required position for variable AMU Capacitor 1. The position can be set between 0%, minimum capacitance, and 100%, maximum capacitance. The capacitor position read back is displayed. CAPACITOR 2 field Enter the required position for variable AMU Capacitor 2. The position can be set between 0%, minimum capacitance, and 100%, maximum capacitance. The capacitor position read back is displayed. AUTO button Select to enable the AMU to tune automatically when the RF generator is switched on. When the RF generator is switched off, the capacitors return to the park position. MANUAL button Select to enable the AMU to move the capacitors to the values defined in the CAPACITOR 1 and CAPACITOR 2 fields; the capacitors will remain in these positions. HOLD button Select to enable the AMU to tune automatically when the RF generator is switched on. When the RF generator is switched off the capacitors remain at the last position. ICP Gate Valve panel Enter a ‘1’ to open the valve or ‘0’ to close it. An indicator displays current status. The ICP gate valve should be open when running process gases and when running a plasma step, it should be closed during a precursor process step to stop coating of the ICP tube with precursor. Printed: 06 November 2007 09:42 Operating Instructions Page 5-49 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Process gas pod mimic Displays a mimic of the gas lines installed in the gas pod. Enter the required gas flow in sccm for each gas line. Click on the Gas Name in an MFC mimic to edit the associated Gas Factors; the following dialogue is displayed. It is recommended to keep the Gas Factor as 1, and to put the full scale of the MFC for the gas used in the Mass Flow field. For example, if Argon is used with a 100 sccm N2 MFC. Put gas factor 1 and Mass Flow 141 sccm. Gas Isolation panel – Enter a ‘1’ to open the valve, or ‘0’ to close it. The Dose valves (D) are interlocked against each other. Gas lines 7 and 8 – Enter a ‘1’ to open the associated valve or ‘0’ to close it. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-50 of 60 Printed: 06 November 2007 09:42 System Manual= RKNMKU lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i iÉ~â=aÉíÉÅíáçå=é~ÖÉ= Fig 5-19: Leak Detection page The leak detection page, accessed from the Chamber 1 process page by selecting the Leak Detection button, allows you to perform automatic or manual leak detection runs. The Leak Detection page can be used to check the rate-of-pressure rise in a sealed process chamber. The chamber is first pumped for a fixed time. The chamber then seals and the pressure rise rate is calculated. A graph of the chamber pressure against time is plotted. The test stops either when the test time elapses. The chamber is returned to pumping at the end of the test. The rate-of-pressure rise will depend on: a) The leak rate from atmosphere. Leaks are not improved by more pumping. b) The outgassing rate from all surfaces. c) The ‘virtual leak’ rate from parts of the system furthest from the vacuum pump, especially gas feed pipes. Outgassing and virtual leaks are reduced by more pumping. Outgassing is increased if the temperature of the whole system is raised. The facilities available on this page are: Page function title field Displays the current function of the page, i.e. Leak Detection Process chamber message field Displays context related messages about the process chamber. Printed: 06 November 2007 09:42 Operating Instructions Page 5-51 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Log Comment message field Used to enter comments about the current process run which can be viewed on the log viewer page. Wafer status field Displays context related messages about the currently loaded wafer. Log Comment button Allows comments about the current process to be entered in the Log Comment message field. While entering a comment, the button title changes to OK to allow the comment to be accepted. Return to Process button Select to return to the Chamber 1 process page. Start button Select to commence a leak detection test. Stop button Select to halt a leak detection test and return to pumping. Step Time fields Enter the required step time (in hours:minutes:seconds) for the duration of the pressure-rise test. While a process is running, the adjacent field displays the time remaining to the end of the step. Log Interval fields Enter the sampling rate for the datalogging log file (in hours:minutes:seconds). If set to zero, no data log will be made. Recipe message field Displays information about the current recipe, step, loaded wafer identity etc. PUMP TO TIME fields Click on the checkbox to select (9 Indicates selected) and then enter the duration of the initial pumpdown (in hours:minutes:seconds). The readback field displays the time remaining to the end of the test. Pressure fields Mass Flow Calibration button The pressures read by the chamber Penning and CM gauges are displayed. Select to calibrate the MFCs. Calibration is carried out by clicking on each MFC mimic, then entering the Gas Name, Gas Factor and Mass Flow. See sub-section 5.10.9, page 5-53. Gas pod mimic Displays a mimic of the gas lines. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-52 of 60 Printed: 06 November 2007 09:42 System Manual= RKNMKV lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i j~ëë=Ñäçï=Å~äáÄê~íáçå=é~ÖÉ= Fig 5-20: Mass flow calibration page CAUTION Some gas mixtures may produce particles in the chamber or gas lines. Check only ONE gas at a time. This page is similar to the Leak Detection page (see sub-section 5.10.8, page 5-51) with the addition of setpoint boxes for the Mass Flow Controllers. When the initial pumping and MFC stabilisation period ends, the chamber seals and fills slowly. The rate-of-pressure rise is calculated and displayed. NOTE: Chamber pressure depends on quantity of gas added and on the chamber temperature. If a high-power plasma has been run recently, the chamber will be hotter and the rate-of-pressure rise will be greater for the same gas flow. Printed: 06 November 2007 09:42 Operating Instructions Page 5-53 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= RKNMKNM lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual qçäÉê~åÅÉë=é~ÖÉ= The Tolerances page, accessed from the Utilities menu, is used to view, set, load defaults and save Process Tolerances. WARNING CHANGING VALUES ON THIS PAGE WILL AFFECT SYSTEM PERFORMANCE AND POSSIBLY INTRODUCE HAZARDS TO PERSONNEL. THEREFORE, THIS PAGE MUST ONLY BE EDITED BY FULLY TRAINED PERSONNEL WHO ARE AWARE OF THE RISKS INVOLVED. Fig 5-21: Process tolerances page NOTE: In all of the Max Scale data fields, the displayed value is proportional to a voltage, i.e. 4095 represents 10V, 2048 represents 5V, etc. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-54 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i The page provides the following features and facilities: RKNMKNMKN _ìííçåë= Set Tols button Click to send the current process tolerances to the PLC. Load Defaults button Click to load the default tolerance values. Save Defaults button Click to save the default tolerance values. RKNMKNMKO póëíÉã=ëÉíìé=íáãÉêë=é~åÉä= Over pressure timeout alarm fields The length of time (hours, minutes and seconds) before an overpressure timeout alarm is triggered via a System Alert. N2 pressure alarm warning fields The length of time (hours, minutes and seconds) before an N2 pressure warning is triggered via a System Alert. N2 pressure failed timeout fields The length of time (hours, minutes and seconds) before an N2 pressure failed timeout is triggered via a System Alert. RKNMKNMKP mêçÅÉëë=ëÉíìé=íáãÉêë=é~åÉä= Pump purge gas on before flowing process gas fields The length of time (hours, minutes and seconds) after starting to pump the purge gas before flowing process gas. Pump purge gas on after recipe finished fields The length of time (hours, minutes and seconds) after a recipe has finished before flowing pump purge gas. RKNMKNMKQ oc=dÉåÉê~íçê=N=íçäÉê~åÅÉ=é~åÉä= Fwd fields Tol% - The percentage difference between the setpoint and readback before an alarm condition is triggered. Max Scale – The maximum scale value (Watts). Alarm Times – The length of time (hours, minutes and seconds) after an alarm condition is triggered before a Forward Power outof-tolerance System Alert is raised. Printed: 06 November 2007 09:42 Operating Instructions Page 5-55 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Ref fields Tol% - The percentage difference between the setpoint and readback before an alarm condition is triggered. Max Scale – The maximum scale value (Watts). Alarm Times – The length of time (hours, minutes and seconds) after an alarm condition is triggered before a Reflected Power outof-tolerance System Alert is raised. DcBias fields Tol% - The percentage difference between the setpoint and readback before an alarm condition is triggered. Max Scale – The maximum scale value (Volts). RKNMKNMKR oc=ÖÉåÉê~íçê=Å~é~Åáíçê=éêÉëÉíë=é~åÉä= Cap 1 fields Position – The default position of the capacitor 1 shaft (% of full stroke). Max Scale – The maximum scale value (reference to voltage). Do not change. Cap 2 fields Position – The default position of the capacitor 2 shaft (% of full stroke). Max Scale – The maximum scale value (reference to voltage). Do not change. RKNMKNMKS d~ë=íçäÉê~åÅÉ=é~åÉä= 01 to 12 fields Tol% - percentage of full scale where a difference of more than this value is considered and alarm condition. Max Scale – The maximum scale value (sccm). Do not change. RKNMKNMKT f`m=ÖÉåÉê~íçê=íçäÉê~åÅÉ=é~åÉä= Fwd fields Tol% - percentage of full scale where a difference of more than this value is considered and alarm condition. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-56 of 60 Printed: 06 November 2007 09:42 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i Max Scale – The maximum scale value (reference to voltage). Do not change. Alarm Times – The length of time (hours, minutes and seconds) after an alarm condition is found causing a process shutdown. Ref fields Tol% - The percentage difference between the setpoint and readback before an alarm condition is triggered. Max Scale – The maximum scale value (reference to voltage). Do not change. Alarm Times – The length of time (hours, minutes and seconds) after an alarm condition is found causing a process shutdown. Printed: 06 November 2007 09:42 Operating Instructions Page 5-57 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= RKNMKNMKU `Ü~ãÄÉê=îÉåíáåÖ=íáãÉë=é~åÉä= Purge cycle fields The maximum length of time (hours, minutes and seconds) the process chamber is purged before venting is continued. Vent to atmosphere fields The maximum length of time (hours, minutes and seconds) the process chamber is vented before a timeout condition is reached. RKNMKNMKV eÉ~íÉê=íçäÉê~åÅÉ=é~åÉä= Tol% – The percentage difference between the cryo temperature setpoint and readback before an alarm condition is triggered. Max Scale – The maximum scale value (deg C). RKNMKNMKNM ^m`=qçäÉê~åÅÉ=é~åÉä= Pressure fields Tol% – The percentage difference between the process chamber pressure setpoint and readback before an alarm condition is triggered. Max Scale – The maximum scale value (Torr). Stabilise Time fields The maximum length of time (hours, minutes and seconds) before the process chamber pressure has stabilised. Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-58 of 60 Printed: 06 November 2007 09:42 System Manual= RKNN lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i içÖ=ÑáäÉë= While PC 2000 is running, two log files are maintained, one for the system log and the other for the data log. These files are stored on your hard disk in the folder C:\Optsyslg. Details of the log files are as follows. System log file – The filename for this file is of the form s0Caabb where aa represents the month, e.g. 06 (for June) and bb represents the day of the month, e.g. 11 (for the eleventh day). Data log file – The filename for this file is of the form p1caabb where aa represents the month, e.g. 06 (for June) and bb represents the day of the month, e.g. 11 (for the eleventh day). When PC 2000 is shut down correctly (select the System menu, then the Exit option), both log files are automatically saved and closed. If PC 2000 is then started again, the files are automatically opened and their data is available via the System Log page and the Select Log Data page. If PC 2000 is not shut down correctly, e.g. the PC is switched off or the PC ‘hangs’ etc., one or both of the log files can become corrupted. If this happens, the next time PC 2000 is started the corrupt log file(s) will be detected and reported on-screen by an error dialogue. To correct this problem, use the following procedure: 1) Close PC 2000. 2) Use Windows Explorer to navigate to C:\Optsyslg. 3) Delete the reported log file. 4) Re-start PC 2000. Printed: 06 November 2007 09:42 Operating Instructions Page 5-59 of 60 Generic (OpAL Plasma) Issue 1: Oct. 07 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual NOTES: Generic (OpAL Plasma) Issue 1: Oct. 07 Operating Instructions Page 5-60 of 60 Printed: 06 November 2007 09:42 System Manual Oxford Instruments Plasma Technology OpAL WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6 Maintenance 6 Maintenance ......................................................................................................... 6-1 6.1 Periodic maintenance schedule..................................................................... 6-2 6.2 General........................................................................................................ 6-3 6.2.1 Weekly.....................................................................................................6-3 6.2.2 Monthly ...................................................................................................6-3 6.2.3 Changing the gas bottles.........................................................................6-5 6.3 Process chamber.......................................................................................... 6-6 6.3.1 Monthly ...................................................................................................6-6 6.3.2 Annually ..................................................................................................6-6 6.4 Substrate table (lower electrode) .................................................................. 6-7 6.4.1 Monthly ...................................................................................................6-7 6.5 Vacuum gauges ........................................................................................... 6-7 6.5.1 Capacitance manometer ..........................................................................6-7 6.6 Gas handling system .................................................................................... 6-8 6.6.1 Wall-mounted gas pod ............................................................................6-8 6.6.2 Mass flow controllers (MFC) .....................................................................6-8 6.6.3 Filters......................................................................................................6-9 6.6.4 Precursor cabinet and delivery system .....................................................6-9 6.7 Pumping system ........................................................................................ 6-11 6.7.1 Exhaust filter with oil feedback and chemical filter.................................6-11 6.7.2 Rotary vane pumps................................................................................6-11 6.8 Pump lubricants......................................................................................... 6-13 6.8.1 General .................................................................................................6-13 6.8.2 Hydrocarbon lubricants (mineral oils) ....................................................6-14 6.9 Temperature controller auto-tune set-up................................................... 6-14 Fig 6-1: Schematic of precursor cabinet .....................................................................6-10 Fig 6-2: Table heater panel ........................................................................................6-14 Fig 6-3: Service mode page showing the HEATER CALIBRATION button ......................6-15 Table 6-1: Periodic maintenance schedule ...................................................................6-2 Note that this section includes references to optional equipment which may not be fitted to your particular system. WARNINGS BEFORE STARTING ANY MAINTENANCE WORK, SWITCH OFF AND LOCK OFF THE MAIN INCOMING CIRCUIT BREAKER. ENSURE THAT ONLY FULLY AND APPROPRIATELY QUALIFIED PERSONNEL ARE ALLOWED TO WORK ON THE SYSTEM. READ THE HEALTH AND SAFETY SECTION AT THE BEGINNING OF THIS MANUAL. WARNING SAFETY OF THE OPERATOR RELIES UPON THE INTEGRITY OF THE INTERLOCK CHAIN. IT IS IMPORTANT THAT THE FUNCTIONALITY OF THE INTERLOCK CHAIN IS REGULARLY TESTED. Printed: 14-Jul-10, 8:14 Maintenance Page 6-1 of 16 Issue 4: July 10 OpAL Oxford Instruments Plasma Technology System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.1 Periodic maintenance schedule Periodic maintenance requerments are listed in Table 6-1. However, this list is not exhaustive and must be read in conjunction with the remainder of this Section. Item 1 2 3 Description Vacuum switch Interlock chain Chamber seals Action Check the interlock. Check the function of all interlocks. Wipe using lint cloth and IPA 4 CM gauge zero drift Chamber liners Reset 0 Frequency Weekly. Monthly Monthly – change seals annually. Quarterly Remove upper and lower liners and bead blast. If available, ultra sonic clean. Exchange for new ones. Every 5-microns deposition on wafer Annually Leak check. Clean. Check level Monthly Annually TMA – weekly Other chemistries – monthly Renew Anually or sooner depending on process. TMA – weekly Other chemistries – monthly 5 6 7 8 9 10 Chamber gas springs Precursor line ALD valves Pump oil Oil cartridge filter Check Renew 11 12 ICP 65 ceramic tube Showerhead Remove and bead blast, wipe with IPA Remove and bead blast 13 14 Electrode ICP gate valve Remove and bead blast Remove actuator and clean Anually or sooner depending on process. Annually Every 5-microns deposition on wafer Annually Annually Table 6-1: Periodic maintenance schedule Issue 4: July 10 Maintenance Page 6-2 of 16 Printed: 14-Jul-10, 8:14 System Manual Oxford Instruments Plasma Technology OpAL WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.2 General WARNING ISOPROPYL ALCOHOL IS HIGHLY INFLAMMABLE (FLAMMABLE). DO NOT USE IT NEAR A NAKED FLAME OR ENERGISED ELECTRICAL EQUIPMENT. 6.2.1 Weekly 1) Examine the exterior of the machine for damage or signs of overheating and for failed indicator lamps. 2) Examine the service connections of the machine for signs of fluid leaks or loose electrical connectors. 3) Check the functionality of the vacuum switch interlock switch, as described in the following steps: 4) 6.2.2 a) Select the Pumping page on the PC2000 application. b) Vent the process chamber. c) Check that the Process Interlock field on the Pumping page displays the message “Fault”. d) Evacuate the process chamber. e) Check that the message in the Process Interlock field on the Pumping page changes to “OK”. f) If this test fails, stop using the system and contact your local OIPT office for advice. g) Examine the exterior of the machine for damage or signs of overheating and for failed indicator lamps. Note, in the machine’s log book, the time taken for the machine to pump down from atmosphere to a standard pressure. An increase in this time may indicate a leak, a decrease in pumping efficiency, a change in a vacuum gauge or in a vacuum valve. Monthly 1) Printed: 14-Jul-10, 8:14 Check the functionality of the interlock chain, as described in the following steps: a) Access the interlock chain terminals as described in section 3.4. b) Connect a digital voltmeter, set to a suitable DC voltage range, to the interlock chain terminals. c) Toggle each interlock in turn to check operation of the associated contacts. d) If any interlock fails, shut down the system and do not operate it. Contact OIPT technical support for instructions. Maintenance Page 6-3 of 16 Issue 4: July 10 OpAL Oxford Instruments Plasma Technology System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 2) The O-rings should be checked monthly but replaced as and when necessary. Replacement O-rings should be constructed of FR10/80 and pre-baked to 180°C to minimise the risk of gas escaping from the chamber. The chamber lid O-ring should not need to be removed unless it leaks. Clean the O-ring in situ using a lint-free cloth wetted with Isopropyl Alcohol. To change the lid O-ring (use gloves): 6.2.2.1 i) Remove the O-ring, being careful not to damage the retaining groove. ii) Use a lint-free cloth and IPA to clean the O-ring sealing face on the chamber. iii) The new O-ring, cleaned with IPA, should be inserted with no twists. Stretch the O-ring evenly as it is inserted to avoid local regions of stretching. 3) Monitor the vacuum integrity of the system by pumping thoroughly, isolating the process chamber and noting the rate of pressure rise. An abnormally high value may indicate a leak or heavy contamination of the process chamber. See subsection 6.2.2.1 for leak check procedures. 4) Monitor the rotary pump condition by timing how long it takes to pump from atmosphere to 0.1 Torr. An increase in time may indicate deteriorating pump performance. 5) Monitor the condition of the oil filter by ensuring that the oil is still flowing. Ensure that the oil filter is not clogged by ensuring that the pressure gauge reading is < 2 bar 6) Check the zero setting of the capacitance manometer. A rotary pump should give a base pressure below 10 mTorr, so a true zero pressure cannot be set in this case. Either the gauge should be set to the same arbitrary reference level (e.g. 10 mTorr), or it should be set to zero on another vacuum system with a known base pressure, and carefully re-installed in the OpAL system. 7) Monitor the performance of each mass flow controller, by noting the system pressure with 10%, 50% and 100% flow set points and the throttle fully open. A change may indicate a deterioration in MFC performance, or a change in pumping speed. Vacuum leak rate check To perform a process chamber leak-rate check, use the following procedure: 1) Pump the system down using a manual process. 2) Press the Abort button. The gate valve will close and the sealed chamber pressure can be monitored on-screen. 3) To perform a process chamber and gas line leak-rate check, use the following procedure: Issue 4: July 10 Maintenance Page 6-4 of 16 Printed: 14-Jul-10, 8:14 System Manual Oxford Instruments Plasma Technology OpAL WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.2.3 4) Close the gas bottle outlet valve associated with the gas line to be checked. 5) Pump down the system and flow 100% all gases until flow readbacks are zero. This will require pumping for a few hours. 6) Manually close the gate valve by removing its 24V dc connector plug. 7) Monitor the leak rate on-screen. Changing the gas bottles The operator should be aware that certain process parameters may change as the process gas bottles pressure drops. For example, inert gas bottles which are normally filled to about 3000 psi, should be changed when the pressure drops below 400 psi. The inlet pressure should be 25 - 35 psia. Before disconnecting the empty bottle it is advisable to thoroughly evacuate the gas line (by closing the gas bottle tap and pumping the line via the MFC). The line should then be filled with dry N2 if available. Once the new bottle has been connected, monitor the vacuum integrity of the gas line before opening the bottle by setting a high flow on the MFC, pumping the line thoroughly (via the MFC), isolating the process chamber and noting the rate of pressure rise. An abnormally high value (>2mTorr/minute) may indicate a leak in the gas line or the regulator to bottle connection. During normal operation the bottle pressure and line pressure on the cylinder regulator should be regularly checked for loss of pressure during periods when the gas bottle tap is turned off (during shut-down periods, overnight or over a weekend). This will indicate a leak from the gas line or the regulator. Printed: 14-Jul-10, 8:14 Maintenance Page 6-5 of 16 Issue 4: July 10 OpAL System Manual Oxford Instruments Plasma Technology WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.3 Process chamber WARNING BEFORE ATTEMPTING ANY MAINTENANCE WORK ON THE PROCESS CHAMBER, IT MUST BE SUBJECTED TO AT LEAST TWO VENT CYCLES SUBSEQUENT TO A PROCESSING RUN. HEATED TABLES MUST BE ALLOWED TO COOL TO ROOM TEMPERATURE BEFORE CLEANING THE CHAMBER WITH SOLVENTS. CRYOGENICALLY COOLED TABLES MUST BE BROUGHT TO ROOM TEMPERATURE BEFORE MAINTENANCE WORK. 6.3.1 Monthly 1) Visually examine the interior of the chamber for contamination. Any necessary cleaning should be carried out using a lint-free cloth moistened with Isopropyl Alcohol (IPA). Tougher deposits can be removed using an abrasive pad first. WARNING ISOPROPYL ALCOHOL IS HIGHLY INFLAMMABLE (FLAMMABLE). DO NOT USE IT NEAR A NAKED FLAME OR ENERGISED ELECTRICAL EQUIPMENT. 6.3.2 2) Examine the exterior of the chamber and its fittings for damage. 3) Examine the lid and seals for any damage or deterioration. 4) Examine the heating/cooling water flow system (outside and inside the machine covers) for signs of leakage. 5) Purge the process chamber for thirty minutes with dry nitrogen if IPA has been used for cleaning. 6) Inspect the chamber gas springs for signs of wear and damage. Check that the gas springs operate correctly. Annually 1) Issue 4: July 10 Exchange the chamber and loadlock gas springs for new ones. Maintenance Page 6-6 of 16 Printed: 14-Jul-10, 8:14 System Manual Oxford Instruments Plasma Technology OpAL WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.4 Substrate table (lower electrode) WARNING BEFORE ATTEMPTING ANY MAINTENANCE WORK ON THE MACHINE, THE PROCESS CHAMBER MUST BE SUBJECTED TO AT LEAST TWO VENT CYCLES SUBSEQUENT TO A PROCESSING RUN. 6.4.1 Monthly 1) Visually examine the substrate table for contamination. Any necessary cleaning should be carried out using a lint-free cloth soaked in Isopropyl Alcohol (IPA). WARNING ISOPROPYL ALCOHOL IS HIGHLY INFLAMMABLE (FLAMMABLE). DO NOT USE IT NEAR A NAKED FLAME OR ENERGISED ELECTRICAL EQUIPMENT. 2) 6.5 Examine the table and its integral heating and/or cooling systems for signs of damage. Vacuum gauges WARNING ISOPROPYL ALCOHOL IS HIGHLY INFLAMMABLE (FLAMMABLE). DO NOT USE IT NEAR A NAKED FLAME OR ENERGISED ELECTRICAL EQUIPMENT. 6.5.1 6.5.1.1 Capacitance manometer Six-monthly (or as necessary) 1) Remove the capacitance manometer from the process chamber. WARNING ISOPROPYL ALCOHOL IS HIGHLY INFLAMMABLE (FLAMMABLE). DO NOT USE IT NEAR A NAKED FLAME OR ENERGISED ELECTRICAL EQUIPMENT. 2) Carefully pour a measure of Isopropyl Alcohol (IPA) at room temperature into the pressure-measurement cavity. Do not allow IPA to come into contact with electronic components. 3) Agitate the solvent carefully and then pour it out. Printed: 14-Jul-10, 8:14 Maintenance Page 6-7 of 16 Issue 4: July 10 OpAL Oxford Instruments Plasma Technology System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. CAUTION Because the diaphragm in the gauge is thin tensioned metal, it can be destroyed by sudden changes in temperature or by clumsy handling. 4) Re-install the gauge, allowing sufficient time for outgassing of the cavity and diaphragm prior to operation. NOTE: See manufacturer's literature for calibration adjustments. 6.6 Gas handling system WARNING BEFORE ATTEMPTING ANY MAINTENANCE WORK ON THE GAS HANDLING SYSTEM, ELECTRICALLY ISOLATE THE SYSTEM BY SWITCHING OFF AND LOCKING OFF THE WALL-MOUNTED SAFETY ISOLATION BOX. PROCESS GASES USED MAY BE TOXIC OR FLAMMABLE. ADOPT THE CORRECT PROCEDURES WITH REGARD TO PURGING BEFORE REMOVING OR LOOSENING COMPONENTS CARRYING THESE GASES. WEAR PROTECTIVE CLOTHING. 6.6.1 6.6.1.1 Wall-mounted gas pod Annually Ensure the gas pod and its fixings are secure. IMPORTANT: OIPT gas pods typically weigh >40kg. Ensure the wall and gas pod fixings are sturdy enough to bear at least four times the weight of the gas pod. 6.6.2 Mass flow controllers (MFC) 6.6.2.1 Annually (or as necessary) If an MFC needs cleaning, repair or recalibration: 1) Make sure MFC has been thoroughly purged before removal. 2) Discuss with the vendor the return of the MFC for service work. Inform the vendor if hazardous gases have been used. Issue 4: July 10 Maintenance Page 6-8 of 16 Printed: 14-Jul-10, 8:14 System Manual Oxford Instruments Plasma Technology OpAL WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.6.3 Filters 6.6.3.1 Annually (or as necessary) The process gas filter elements will need replacing at a frequency that depends on both the nature and purity of the process gases, and of other materials that come into contact with them. The filters could need changing more or less often than annually. 6.6.4 6.6.4.1 1) Make sure line has been thoroughly purged before removal. 2) Unscrew union nut and remove assembly. 3) Tap filter element lightly on side with a fibre mallet to loosen and remove element. 4) Fit new element. 5) Re-assemble. Precursor cabinet and delivery system Monthly Leak check the precursor delivery line, as described in Appendix PSP. 6.6.4.2 Annually The ALD valves must be cleaned once a year. 1) Shut down the system for service. 2) Turn off the manual valves on all precursor pots. The manual valves are identified in section 3 of this manual. 3) Pump out and purge the delivery line to remove all residual precursor material. This is described in Appendix PSP. 4) Perform a risk assessment for the relevant precursor materials and wear appropriate personal protective equipment. 5) Remove the fast ALD valve from the outlet line on each bubbler. These valves are identified as D1, D2, D3 and D4 in Fig 6-1. Printed: 14-Jul-10, 8:14 Maintenance Page 6-9 of 16 Issue 4: July 10 OpAL Oxford Instruments Plasma Technology System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. Fig 6-1: Schematic of precursor cabinet 6) Place the valves in an ultrasonic bath filled with isopropyl alcohol so that the valve ports are immersed but the valve actuators are not. 7) Attach a supply of clean, regulated compressed air to each valve actuator to open each valve. 8) Ultrasonically clean the valves for one hour. 9) Remove the valves from the bath and use a pipe cleaner ( or similar) to remove any remaning precursor from the valve body. 10) Bake the valves at 100°C for thirty minutes to remove all traces of isopropyl alcohol. 11) Refit the ALD valves to the system. 12) Start the system up. 13) Leak test the precursor lines and prepare them for use, as described in Appendix PSP. Issue 4: July 10 Maintenance Page 6-10 of 16 Printed: 14-Jul-10, 8:14 System Manual Oxford Instruments Plasma Technology OpAL WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.7 Pumping system WARNING BEFORE ATTEMPTING ANY MAINTENANCE WORK ON THE PUMPING SYSTEM, THE PROCESS CHAMBER MUST BE SUBJECTED TO AT LEAST TWO MANUALLY CONTROLLED VENT CYCLES SUBSEQUENT TO ANY RUN WHERE CORROSIVE, TOXIC OR INFLAMMABLE (FLAMMABLE) PROCESS GASES HAVE BEEN USED. THE SYSTEM MUST THEN BE ELECTRICALLY ISOLATED (SWITCHED OFF ). CAUTION When changing or topping-up the lubricating oil in a pump, always use oil of the same brand and type. If a change of brand or type is contemplated, refer to Oxford Instruments Plasma Technology for advice. 6.7.1 6.7.1.1 Exhaust filter with oil feedback and chemical filter Weekly Inspect the filter differential pressure indicator (if fitted) and change the filter cartridge if necessary. If perfluoropolyether (PFPE) oil is used in the pump, change the filters when the oil becomes cloudy. WARNING HAZARDOUS SUBSTANCES MAY ESCAPE FROM THE FILTER AND OIL. TAKE SUITABLE PRECAUTIONS SUCH AS WEARING GLOVES, GOGGLES OR GAS MASK. 6.7.1.2 During an oil change Always change the filters when the oil is changed. Note that the oil trapped in the filter cartridge housing must also be drained and re-filled. 6.7.2 6.7.2.1 Rotary vane pumps Weekly Inspect the oil level. If the level is low, switch off the system and replenish. 6.7.2.2 Bi-weekly (or at 400 hours operating time) Inspect the quality of the oil visually, chemically and with regard to its viscosity (see manufacturer's literature) to establish its rate of deterioration for a given process. Once this has been established, the oil should be changed regularly at that frequency, but never less frequently than every 2000 hours. Always change the oil filters when the oil is changed. Printed: 14-Jul-10, 8:14 Maintenance Page 6-11 of 16 Issue 4: July 10 OpAL Oxford Instruments Plasma Technology System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. Note that PFPE oil should never need changing if used as specified. CAUTION Changing from a PFPE oil to a mineral oil or vice versa should only be attempted after reference to the manufacturers. If the oils are allowed to come into contact with each other, they emulsify, with fatal results for the pump. 6.7.2.3 Annually Remove and clean the wire mesh filters (if fitted) on the intake ports, using a perfluorinated liquid such as Freon when PFPE oil is in use, or Isopropyl alcohol (IPA) when mineral oils (hydrocarbons) are in use. CAUTION When replacing filters, do NOT change filter type (filling material) without reference to Oxford Instruments Plasma Technology. Issue 4: July 10 Maintenance Page 6-12 of 16 Printed: 14-Jul-10, 8:14 System Manual Oxford Instruments Plasma Technology OpAL WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.8 Pump lubricants CAUTION When changing or topping-up the lubricating oil in a pump, always use oil of the same brand and type. If a change of brand or type is contemplated, refer to Oxford Instruments Plasma Technology for advice. 6.8.1 General The lubricating oils used for pumps where the oil comes into contact with the pumped gases, i.e. oil-sealed pumps such as rotary vane types, should be chosen to meet the specific characteristics necessary for the process involved. The vapour pressure must be low at the temperatures reached at the rubbing surfaces. Viscosity should not vary significantly over the temperature range involved, and the water absorption rate and content must be low. Lubricating oils generally fall into one of two categories: mineral (hydrocarbon) based oil or synthetic oil such as perfluorinated polyether. The synthetic oils are normally used where they come into contact with strong oxidants such as nitrogen dioxide, oxygen, or one of the halogens. 6.8.1.1 Perfluorised polyethers Perfluorised polyether (PFPE) lubricants have the following properties: a) They are stable up to 350°C, i.e. they do not decompose below this temperature. b) They are chemically inert. They will, however react with Lewis acids (BCI3, AICI3 etc.) at temperatures over 100°C. c) They do not polymerise under the impact of high-energy radiation. d) Since they tend not to keep contaminants suspended, always consider whether suitable oil filters are needed for the application. e) They do not 'age' and therefore, if used correctly, need not be replaced during the lifetime of the pump. f) Any contaminants in the lubricant may be removed by fitting clean filters and letting the pump run for several hours with inert gas ballast, the intake port having been closed. g) They do not protect metal surfaces against corrosion. Pumps should therefore always be flushed with inert gas. Pumps using PFPE should be allowed to run continuously, unless the process is non-corrosive and the pump is vented to dry Nitrogen. h) PFPE is incompatible with hydrocarbon oils, i.e. mineral oils, conventional greases and cleaning agents. If a pump uses PFPE lubricant only Freon 113 or Frigen 113 may be used as a cleaning agent, and only PFPE grease may be employed. Printed: 14-Jul-10, 8:14 Maintenance Page 6-13 of 16 Issue 4: July 10 OpAL Oxford Instruments Plasma Technology System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.8.2 I) If it should become necessary to change from PFPE to mineral oil lubrication or vice versa, the pump must be completely disassembled, freed of lubricant and fitted with new gaskets and vanes. j) At temperatures over 350C, hazardous gaseous decomposition products are formed. Therefore do not smoke in rooms where PFPE is used, and make sure that no tobacco comes into contact with PFPE. k) When handling PFPE, protective clothing must be used. l) Do not mix PFPE with used oil. Dispose of them separately. m) PFPE is normally odourless and colourless. Cloudiness or odour is a sign of contamination. Hydrocarbon lubricants (mineral oils) Where mineral oils are used, the rate of oil deterioration for a particular pump and process should be established at an early stage, and oil changes based upon this information. 6.9 Temperature controller auto-tune set-up The table heater is controlled by a Proportional-Integral-Derivative (PID) loop via the PLC. To set the table heater temperature, an auto-tune set-up software sequence is carried out. The temperature controller auto-tune set-up is carried out by OIPT prior to system shipment. However, if required, it can be carried out by the customer using the following procedure. NOTE: The temperature controller auto-tune set-up procedure will take approximately between one hour and one hour twelve minutes to complete. 1) On the Process Control page’s TABLE HEATER panel (see Fig 6-2), enter the heater setpoint into the white data field. Note the value entered must be 80 to 100 degrees higher than the actual temperature for the PID to auto-tune. Fig 6-2: Table heater panel 2) Select the START button and then the STOP button to download the setpoint to the PLC. 3) From the System Menu, select the Service option to display the Service Mode page. Issue 4: July 10 Maintenance Page 6-14 of 16 Printed: 14-Jul-10, 8:14 System Manual Oxford Instruments Plasma Technology OpAL WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. Fig 6-3: Service mode page showing the HEATER CALIBRATION button NOTE: Once the auto-tune sequence has been started, it cannot be stopped except by switching the system off. 4) Select the HEATER CALIBRATION button. You will be prompted for confirmation; select the Yes button. The message ‘CALIBRATION STARTED’ is displayed. 5) Exit from Service Mode and then select the System Menu’s Chamber 1 option. The Process Control page is displayed. In the TABLE HEATER panel, the message ‘AUTO-TUNE RUNNING’ is displayed. The actual temperature value will increase towards the set-point value. On completion of auto-tune the message ‘HEATER READY’ is displayed (this will take approximately one hour to one hour and twelve minutes). NOTES: If the water flow switch or thermo snapswitch (if fitted) fail while auto-tune is running, the message ‘HEATER FAIL’ will be displayed. If this happens, investigate and fix the fault and then re-start this procedure from Step 1). If the water flow switch or thermo snapswitch (if fitted) fail temporarily during heating (i.e. when HEATER READY is displayed), the message ‘PLEASE TUNE ME’ will be displayed. When the flow switch/snapswitch recover, the HEATER READY message is displayed again, the heater is again ready for use – do not re-tune the heater. Printed: 14-Jul-10, 8:14 Maintenance Page 6-15 of 16 Issue 4: July 10 OpAL Oxford Instruments Plasma Technology System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. NOTES: Issue 4: July 10 Maintenance Page 6-16 of 16 Printed: 14-Jul-10, 8:14 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. T qêçìÄäÉëÜççíáåÖ= 7 Troubleshooting ........................................................................................................................... 7-1 7.1 Customer Support Facilities ................................................................................................. 7-2 7.2 General ................................................................................................................................... 7-3 7.3 Troubleshooting chart........................................................................................................... 7-3 7.4 Emergency Off chain ............................................................................................................. 7-8 7.5 Interlocks................................................................................................................................ 7-9 7.5.1 General description ........................................................................................................................7-9 7.5.2 Gas box interlocks.........................................................................................................................7-11 7.5.3 System Link Configuration Table.................................................................................................7-12 7.5.4 System LED Monitoring Table......................................................................................................7-12 Fig 7-1: Emergency off chain ..............................................................................................................................7-8 Fig 7-2: Gas box interlock chain .......................................................................................................................7-11 Printed: 25-Oct-07, 11:47 Troubleshooting Page 7-1 of 12 Issue 1: August 07 lé^i lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. TKN `ìëíçãÉê=pìééçêí=c~ÅáäáíáÉë= Oxford Instruments Plasma Technology has global Customer Support Facilities to provide a coordinated response to customer’s queries. All queries are recorded on our Support Database and dealt with as quickly as possible. If we are not able to answer the query immediately, we will contact you as soon as possible. Before contacting a Customer Support Facility, please ensure that you have referred to the appropriate section of your system manual, OEM manuals and electrical drawings. Please direct all queries through your nearest support facility (see below) and have the following details available. System Type, e.g. lé^i=etc. Works Order No. – This can be found on the front cover of your system manual. Contact Information – Your name, Company and how we can contact you. Details of your query – nature of the problem, part numbers of spares required, etc. You can contact us via any of the following: China Beijing Tel: +86 106518 8160 Email: [email protected] Shanghai Tel: +8621 6360 8530 Email: [email protected] Taiwan Tel: +886 3 5788696 Email: [email protected] UK Customer Support Hotline: +44(0) 1934 837070 (0800 – 2000 UK local time) Fax: +44(0) 1934 837071 Email: [email protected] Germany Tel: +49 6122 937 161 Email: [email protected] Japan Tel: +81 3 5245 3591 Email: [email protected] Singapore Tel: +65 6337 6848 Email: [email protected] Issue 1: August 07 USA Concord, MA Tel: +1 978 9933 ext. 444 Toll Free: +1 800 447 4717 ext. 444 Fax: +1 978 369 8287 Email: [email protected] Troubleshooting Page 7-2 of 12 Printed: 25-Oct-07, 11:47 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. TKO dÉåÉê~ä= WARNING 1) BEFORE REMOVING THE EXTERNAL COVERS OF THE SYSTEM, ISOLATE THE SYSTEM ELECTRICALLY BY SWITCHING OFF THE INCOMING MAINS SAFETY ISOLATOR (MOUNTED ADJACENT TO THE MACHINE) . 2) READ THE HEALTH AND SAFETY SECTION AT THE FRONT OF THIS MANUAL. What may appear to be faults are sometimes simply the failure on the part of the operator to follow the correct procedures. Before spending time, therefore, searching for a failed component, ensure that the pre-power-up check has been carried out (see Section 5) and that all plugs and connectors are secure. TKP qêçìÄäÉëÜççíáåÖ=ÅÜ~êí= Note that the selection of faults given for each symptom may not be exhaustive. Symptom No Power to system Possible Faults and Checks 1) Incoming mains safety isolator (mounted adjacent to the machine) switched off. Also, in PC 2000 on the Pump Control page, the PSU Monitor indicator is coloured red. 2) SYSTEM CONTROL ON button not operated. (Located on front panel). 3) EMERGENCY OFF button not released – twist clockwise to release. (Located on the control panel). 4) External Emergency Off circuit is open circuit. Check that Socket 7 has a shorting plug fitted. (Located at rear of machine). WARNING THE CIRCUIT BREAKERS CB1 AND CB2 ARE LOCATED WITHIN THE POWER DISTRIBUTION UNIT. DO NOT REMOVE THE COVER OF THIS UNIT UNLESS YOU ARE FULLY TRAINED AND AWARE OF THE RISKS INVOLVED. 5) Circuit breaker CB1 de-energised. 6) Circuit breaker CB2 de-energised. This CB supplies the ±15V dc and 24V dc power supply unit. Check FUSES 1, 2 and 3 and the ±15V dc and 24V dc power supply unit’s outputs. Printed: 25-Oct-07, 11:47 Troubleshooting Page 7-3 of 12 Issue 1: August 07 lé^i System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. Symptom Pumps not operating Possible Faults and Checks 1) Pump not turned on: use the Pump control page and click on the relevant EVACUATE button to start it. 2) Pump cable not connected. Plug 10 (pump 1) and Plug 9 (pump 2) located at the rear of the machine. WARNING THE CIRCUIT BREAKERS CB12 AND CB 13, AND CONTACTORS K2 AND K3 ARE LOCATED WITHIN THE POWER DISTRIBUTION UNIT. DO NOT REMOVE THE COVER OF THIS UNIT UNLESS YOU ARE FULLY TRAINED AND AWARE OF THE RISKS INVOLVED. 3) Circuit breaker CB12 (pump 1) and CB13 (pump 2) de-energised. 4) Contactor K2 (pump 1) and K3 (pump 2) de-energised. Refer to the 80 Plus Power Distribution circuit diagram. Excessive time to achieve base pressure of <10 mTorr. 1) Rotary pumps not operating. (See preceding sub-section). 2) Capacitance Manometer (CM) gauge not operating correctly. Refer to the CM gauge manual. 3) Excessive water vapour in the process chamber. Continue pumping with gas ballast open on the rotary pump (where possible) or with a flow of inert gas. Issue 1: August 07 Troubleshooting Page 7-4 of 12 Printed: 25-Oct-07, 11:47 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. Unable to strike a Plasma 1) Chamber pressure out of correct operating range. Set correct pressure. 2) Other process variables incorrect. RF will not be turned on until electrode temperature, gas flows and process pressure are close to demand values. 3) Gas isolation valves not operating. Check for correct compressed air supply. 4) RF generator not operating. Check that generator’s mains switch is set to ON, and that the AC ON indicator is lit. Check CB4 is made. NOTE: RF generator will only switch on when the chamber is below 600 mbar (vacuum switch closed). Is RF generator MAX POWER indicator on or the reflected power high? If so, refer to the RF generator and automatch unit manuals.. 5) Automatch unit problems: read the following text: Automatch set to 'manual' with capacitors in the wrong positions (retune manually or set to 'auto'). Automatch not powered (connect automatch cables) Automatch capacitor(s) stopped at maximum or minimum (some versions of automatch require manual retuning if a capacitor reaches an end stop retune manually away from the end and set to 'auto') A good match and a plasma can be obtained by manual tuning, but not by automatching: a) The capacitor electrical end stop positions do not coincide with the mechanical maximum and minimum points of a variable capacitor (usually means the capacitor has slipped mechanically on the drive shaft; re-align the electrical and mechanical end positions and retighten the shaft connections) b) Refer to instructions for setting up the automatch - it is normally necessary to manually tune to a good match, then adjust the auto mode to recognise this as a good match point. In some systems reteaching is required for process conditions which are very different from each other. Arcing between the vanes of an air-vane variable capacitor. (Turn off the RF immediately - the capacitor can be permanently damaged. Investigate for vanes which are out of alignment. Repair may be possible, but arcing usually requires the capacitor to be replaced.) 6) Is RF generator OVERHEAT indicator on? If so, refer to the RF generator manual. Water-cooled versions: turn on the water, check for blocked pipe or high back pressure. Air-cooled versions: check for obstructions to air flow and high ambient temperature. Printed: 25-Oct-07, 11:47 Troubleshooting Page 7-5 of 12 Issue 1: August 07 lé^i System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. Unable to strike a Plasma (continued) 7) Is the RF ON indicator on when requesting RF power? If not: a) b) c) d) 8) Check the rf power demand is not zero Check the rf remote cable is properly connected Check the vacuum interlock is made Contact an Oxford Instruments Plasma Technology engineer. Check that the reflected RF power is less than 10% of the forward power. If not, carry out the following checks: a) Check the cable between the RF generator and the automatch unit. b) Check the connection between the automatch and electrode. c) RF electrode resistance to chassis should be > 1Mohm, if significantly less, look for a short circuit. d) If manual control of the automatch is available, attempt a manual match. If, in a manual match the reflected power can be reduced to less than 10% of forward power, the problem is in the automatch unit – refer to the automatch manual. In PC 2000, on the Pump Control page, the Water Off indicator is coloured red. Issue 1: August 07 9) Check that the plasma really is absent: low power and high pressure plasmas in O2 or SF6 emit very little visible light; DC bias values will be low for these plasmas, and low or zero for PECVD, PE- mode and RIE mode when an insulating cover plate is used. 10) Check the condition of the process chamber. Heavy contamination of either electrode can lead to difficulty in striking a plasma 11) Try starting the plasma with the automatch capacitors at different staring values. The approach to match can sometimes take the electrode through a maximum voltage point which assists starting. 12) If the reflected power is low but the pressure is too low to strike the plasma, do NOT raise the power for more than one minute in an attempt to strike. The power is being dissipated in the matching unit, which will get hot. 13) Do NOT use a Tesla coil or similar sparking device: voltages from these devices exceed the ESD test limits of the equipment, causing permanent damage. 1) Check that the cooling water supplies are connected and turned on. Troubleshooting Page 7-6 of 12 Printed: 25-Oct-07, 11:47 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. In PC 2000, on the Pump Control page, the Gas Pod Interlock indicator is coloured red. Printed: 25-Oct-07, 11:47 1) Check that the gas pod cover is in place and fitted correctly. 2) In the gas pod, check the interlock microswitch and associated wiring. 3) Check that the process chamber pressure is below 600 mbar. Troubleshooting Page 7-7 of 12 Issue 1: August 07 lé^i System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. TKQ bãÉêÖÉåÅó=lÑÑ=ÅÜ~áå= If the system does not power up when it is known that electrical power is supplied to the machine, there could be a break in the emergency off chain. Details are given in the electrical schematics, but a summary of the route is given here to assist diagnostics. WARNING INVESTIGATIONS SHOULD ONLY BE CARRIED OUT BY QUALIFIED SERVICE PERSONNEL WHO APPRECIATE THE ELECTRICAL HAZARDS INSIDE THE MACHINE. The +24V dc emergency off (EMO) chain begins at the +24V dc power supply in the power box. The route is shown in Fig 7-1: 80 Plus SYSTEM POWER BOX SE81A19439 +24V & +/- 15V PSU 24V Pin 9 F3 Sk7 D A Sk15 K link EMERGENCY OFF SWITCH CONTROL PANEL SYSTEM ON SWITCH J TERMINAL BLOCK H K1 COIL G Sk14 6 SYSTEM OFF SWITCH RL1 COIL 2 PUMPS Sk15 L 24V 14 13 TO THE 24V DISTRIBUTION TERMINAL BLOCK (MOUNTED ON THE EXTERIOR OF THE POWER BOX AT THE REAR LEFT-HAND SIDE). NOTE THAT THIS VOLTAGE IS REQUIRED TO SUPPLY THE INTERLOCK CHAIN Fig 7-1: Emergency off chain Switching the mains safety isolator on applies power to the 24V PSU. 24V is applied via the EMO link (or external N/C contacts) and the Emergency Off switch to the System On switch. Pressing the System On switch momentarily, energises contactor K1 coil and RL1 coil. RL1 contacts changeover to latch K1 coil on and to apply 24V to the pump control circuit. 24V is applied via K1 contacts 13 and 14 to the 24V distribution terminal block (located on the power box exterior at the rear left-hand side). The 24V supply is then routed to the interlock circuit. Issue 1: August 07 Troubleshooting Page 7-8 of 12 Printed: 25-Oct-07, 11:47 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. TKR fåíÉêäçÅâë= TKRKN dÉåÉê~ä=ÇÉëÅêáéíáçå= The interlocks form a continuous 24Vdc chain, which must be complete before the process gases and RF power supplies are enabled. An output to disable external devices unless the lid/ hoist is closed is also provided; this is typically used to disable a lid-mounted endpoint detector laser. ALD process gases will only flow if all heaters are within tolerance. If any one heater is not in tolerance, the process will not start. If the precursor cabinet door is opened, compressed air to the ALD valves is turned off and process gases are turned off. Supply to the ALD valves is only available when the system is at base pressure. The interlock chain is monitored by the software, but acts independently. It is also supplemented by machine protection sensors, which operate only via the software. To enable RF power: • • • • • • • The 600 mbar vacuum switch (‘Vacstat’) must be at low pressure The process chamber lid must be shut (or its hoist down) The primary process pump must be running The primary process pressure gauge (normally a capacitance manometer) must be on scale The load lock inter-chamber valve (where fitted) must be closed Customer-supplied external alarm devices must be in their safe state The inert gas purge to the primary process pump must be flowing. To enable process gases: • • • RF power must be enabled The gas box lid must be shut Specific gases can be set in the gas box hardware to be mutually exclusive, so that they cannot be turned on together. Machine protections fitted where appropriate: • A nitrogen pressure switch, to detect adequate purge pressure to turbomolecular pump bearings. OR: • A nitrogen flow meter, to detect purge gas flow to pump bearings. • Water flow switch(es). Printed: 25-Oct-07, 11:47 Troubleshooting Page 7-9 of 12 Issue 1: August 07 lé^i System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. RF enable interlock chain details are given in the following table: Refer to drawing SE00A26865 (PC2003 interface schematic). INTERLOCK Vacuum Switch Hoist /Lid DEVICE Vacuum Switch PCB input BLK17 PCB1 LED 11 Link out Comments NONE Pressure below 600 mBar a) Air cylinder switch/microswitch BLK18 12 NONE Lid closed or hoist down. Enables end point laser via JP51 Guardmaster Switch N/O Switch Current monitor in Power Box BLK19 13 JP44 6 15 Interlock disabled if an independent/dry pump fitted Capacitance Manometer (e.g. Baratron™) JP16 5 NONE Analogue input below 11.5V. Switches comparator U5. External Voltage Free contact or 24V DC input to PCB JP52 14 External Voltage Free contact JP53 15 Can be bypassed using LK19 20 Customer-supplied device. Volt free contact JP52 pins 1 and 4. OR 24V DC input JP52 pin 4. Customer-supplied device or b) Primary pump running Process pressure gauge on scale Spare interlock 1 Spare interlock 2 Or Or Load lock valve Process pump purge Inter-chamber valve must be shut Gas Flow Switch at Primary Pump Used on 100 and 133 systems JP55 16 LK21A Fit LK21B if fitted If the above is satisfied, then 24V is at BLK20, 21 & 22 pin 1. This enables the K4 contactor to supply power to the RF Generator. Issue 1: August 07 Troubleshooting Page 7-10 of 12 Printed: 25-Oct-07, 11:47 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. TKRKO d~ë=Äçñ=áåíÉêäçÅâë= Refer to drawing: SE81B26657 (PC2003 gas pod loom). To enable process gases, the RF interlock chain must be complete. The gas box interlock is shown in Fig 7-2. SYSTEM CONSOLE PCB 94-PC00S26866 BLK 20 24VDC 1 GAS BOX REAR SERVICES PANEL SKT25W PIN4 SERVICES PANEL PL25W PIN4 SKT25W PL24W PIN4 PIN4 PCB 94-PC81S26655 JP6 PIN1 LID SWITCH JP6 PIN2 JP6 PIN3 Via JP3 to CAN unit controlling gases 1 - 4. See NOTE. NOTE: The function of the signal is to supply 24Vdc power to the digital outputs of the CAN unit. The interlock continues through idendical boards (where fitted) which drive gases 5 - 8 and 9 - 12. Fig 7-2: Gas box interlock chain TKRKOKN fåÅçãé~íáÄäÉ=Ö~ëÉë= 1st PCB Gas 2nd PCB Gas 1 5 1 Gas 2 6 2nd Gas 3 7 3rd Gas 4 8 4th Gas Gases are designated as one of three types: st 3rd PCB Gas 9 10 11 12 Type A LK3A + 4 LK5A + 6 LK7A + 8 LK9A + 10 Type B LK3B LK5B LK7B LK9B Type X LK3A LK5A LK7A LK9A Gas type A: Typically oxidising gases (e.g. oxygen) Gas type B: Typically fuel gases (e.g. hydrogen) Gas type X: Gases normally miscible with most other gas types. If ANY gas Type A is enabled, then ALL gas Type B lines are disabled. The gas box has a facility to prevent incompatible gases from being enabled simultaneously, using soldered links. Printed: 25-Oct-07, 11:47 Troubleshooting Page 7-11 of 12 Issue 1: August 07 lé^i lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. TKRKP póëíÉã=iáåâ=`çåÑáÖìê~íáçå=q~ÄäÉ= NAME LK1 LK2 LK3 LK4 LK5 LK6 A/B LK7 A/B LK8 LK9 LK10 LK11 LK12 LK13 LK14 A/B LK15 LK16 LK17 LK18 LK19 LK20 LK21 A/B TKRKQ FUNCTION ANALOGUE 0V TO CHASSIS DIGITAL 0V TO ANALOGUE 0V NON – CONTROLLER CRYO ENABLE HEATER SNAP SWITCH BYPASS FIT IF NO OEM CONTROLLER LK6A = NON PM140 ENDPOINT LK6B = PM140 ENDPOINT LK7A = NON PM140 ENDPOINT LK7B = PM140 ENDPOINT +24V DC TO RL2 COM1 +24V DC TO RL2 COM2 +24V DC TO RL6 COM1 +24V DC TO RL6 COM2 +24V DC TO RL7 COM1 +24V DC TO RL7 COM2 LK14A = NON DRY PUMP FITTED LK14B = DRY PUMP FITTED PUMP CURRENT BYPASS +24V DC TO RL8 COM2 NON – CONTROLLER HEATER ALARM NON – CONTROLLER HEATER ENABLE SPARE INT/LOCK 1 BYPASS NOT FITTED 100/133 SPARE INT/LOCK 2 BYPASS LK21A = PURGE SWITCH NOT FITTED LK21B = PURGE SWITCH FITTED NOTES SEE LK7 A/B SEE LK 6 A/B póëíÉã=iba=jçåáíçêáåÖ=q~ÄäÉ= NAME LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 LED9 LED10 LED11 LED12 LED13 LED14 LED15 LED16 LED17 COLOUR GREEN RED YELLOW GREEN RED RED RED RED RED RED RED RED RED RED RED RED RED MONITORING +24V DC +15V DC -15V DC +5V DC CM COMP OK PUMP CURRENT SWITCH N2 PRESSURE SWITCH WATER ONE WATER TWO WATER THREE VAC STAT RL10 (HOIST CONTROL) HOIST SPARE INTERLOCK 1 SPARE INTERLOCK 2 PUMP PURGE SWITCH RL15 (MASTER/SLAVE) ACTIVE SLAVE Note that when the interlock chain is complete, all LEDs are illuminated. Issue 1: August 07 Troubleshooting Page 7-12 of 12 Printed: 25-Oct-07, 11:47 System Manual= U lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i mêçÅÉëë=ÖìáÇÉ=C=Öäçëë~êó= Printed: 05 October 2007 10:33 Process Guide and Glossary Page 8-1 of 2 Issue 1: September 07 lé^i= UKN lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual ^Äçìí=íÜáë=pÉÅíáçå= This Section contains the OIPT ‘Process Guide’ document. Note that this document includes a Glossary of Terms. Issue 1: September 07 Process Guide and Glossary Page 8-2 of 2 Printed: 05 October 2007 10:33 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i lé^i=mêçÅÉëë=dìáÇÉ= Process Information (Information contained in this document is confidential) Page 1 of 14 Issue 1: August 07 Printed: 08 October 2007 11:00 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual Contents 1 Introduction......................................................................................................................................3 1.1 About this guide........................................................................................................................................3 1.2 Health and safety ......................................................................................................................................3 1.3 Terminology ...............................................................................................................................................3 2 The clean room .................................................................................................................................4 3 Processes...........................................................................................................................................5 3.1 General .......................................................................................................................................................5 3.2 ALD processes.............................................................................................................................................6 3.2.1 ALD operating parameter ranges...................................................................................................6 3.2.2 ICP operating parameter ranges ....................................................................................................7 3.2.3 Precursors with low vapour pressure .............................................................................................7 3.2.4 Gas calibration factors.....................................................................................................................7 3.2.5 Exhaust emissions ............................................................................................................................7 3.2.6 Premature flaking of chamber wall liners / showerhead material ..............................................8 3.3 Process troubleshooting............................................................................................................................9 3.3.1 Partial process failure ......................................................................................................................9 3.3.1.1 Example problems ........................................................................................................................9 3.3.1.2 Typical causes................................................................................................................................9 3.3.2 Total plasma failure.........................................................................................................................9 3.3.2.1 Example problems ........................................................................................................................9 3.3.2.2 Typical causes................................................................................................................................9 4 Glossary of terms ...........................................................................................................................11 5 OIPT locations worldwide..............................................................................................................14 Process Information (Information contained in this document is confidential) Issue 1: August 07 Page 2 of 14 Printed: 08 October 2007 11:00 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i N fåíêçÇìÅíáçå= NKN ^Äçìí=íÜáë=ÖìáÇÉ= This guide gives information about plasma processes based on Oxford Instruments Plasma Technology’s (OIPT) long experience in the semiconductor industry. The scope of the guide is to provide a general introduction to process strategies and common process problems, and has not been prepared for a particular version of hardware. The information is presented to help users obtain optimum results from their specific process applications using Plasmalab systems. To ensure that the information is as comprehensive and up-to-date as possible, it has been collated from the following sources within OIPT: In-house Applications Laboratory1 (Process Lab reports, manager’s notes, customer feedback etc.). Service Department (customer feedback, on-site experience etc.) Technology Department (external information sources, design reviews, customer liaison, etc.) NKO eÉ~äíÜ=~åÇ=ë~ÑÉíó= For health and safety information, see Section 1 (Health and Safety) of your Plasmalab system manual. The customer is always responsible for: (A) Delivery of process gases to the tool. (B) Removal of exhaust gases from the tool. (C) Maintaining a safe system of work in using and maintaining the tool. NKP qÉêãáåçäçÖó= Material presented within this guide is aimed at users who have knowledge of plasma processes and the terms used. However, if you come across an unfamiliar term, please refer to Section 4 (Glossary of Terms). The Application Laboratory is a purpose-built clean room facility housing examples of all of our Plasmalab systems for research and development purposes. Each of these systems is installed in accordance with our standard installation data documents supplied to customers for each system type. 1 Process Information (Information contained in this document is confidential) Page 3 of 14 Issue 1: August 07 Printed: 08 October 2007 11:00 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual O qÜÉ=ÅäÉ~å=êççã= It is recommended that the OIPT process tool(s) are installed in a ‘clean room’ that meets the following requirements: • • • • • • • • • • • • • • • • • • • • • • HEPA filtered air conditioning system, ideally laminar flow. Clean room walls/ceiling/flooring constructed from low particulate materials. Work areas shall remain free from waste materials. Covered waste containers shall be provided and emptied regularly. Gangways shall remain clear at all times. Access to H&S / COSHH data sheets shall be maintained at all times. Access to fire protection equipment shall be maintained at all times.. Appropriate warning labels shall be provided where required. Samples shall be covered where practicable, particularly at the end of a working shift. No eating /drinking or smoking shall be permitted in the clean room/laboratory. Restricted access shall be maintained and a list of authorised persons shall be displayed outside the processing areas. All other persons entering the area shall be escorted at all times. Items entering the processing area shall be inspected for cleanliness prior to entry. Non-essential items shall be precluded from the processing areas. Non-essential equipment or documentation shall not be stored on the floor area. Prior to entering the processing rooms, protective clothing shall be worn and shall constitute at least over-shoes, coat and hat. These shall be made available within the laboratory access room and will be replaced at a controlled frequency. A bench shall be provided to aid dressing with protective clothing. The bench area immediately adjacent to the entry door to the laboratory shall contain a tack mat to further prevent contamination ingress. Over shoes must not come into contact with the area that has been used for day shoes. Cleaning of the processing areas shall be performed with suitably filtered vacuum equipment. A cleaning programme shall be established and evidence of compliance maintained for audit purposes. All samples in current use or in temporary storage within the area shall be identified. Non-conforming samples shall be identified and physically segregated from acceptable work. Gloves shall be worn when handling unprotected samples. Unused tooling / equipment shall be stored in a manner to prevent damage and deterioration. It shall remain the responsibility of the users to ensure that tooling / equipment remains suitable for its intended purpose. For Health & Safety guidelines, refer to Section 1 (Health & Safety) of your Plasmalab system manual. For services required, refer to OIPT Services Specifications and the relevant Installation Data document for your Plasmalab system. Process Information (Information contained in this document is confidential) Issue 1: August 07 Page 4 of 14 Printed: 08 October 2007 11:00 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i P mêçÅÉëëÉë= PKN dÉåÉê~ä= Recommendations for the OpAL system Day-to-day operation • It is strongly recommended that the tools are left switched on and pumping continuously (i.e. do not switch off system or pumps). This ensures the maximum lifetime for system and pumps and optimum process repeatability. • Datalogging of each run is strongly recommended to allow the system to maintain full records of all process runs. Items to monitor regularly via datalogs are as follows: Gas flow during process - if this is different from original/earlier data it indicates MFC and/or pumping problems. RF reflected power during process - indicates matching or striking problems. RF Automatch capacitor positions (if available) – for checking reliability of RF matching. Weekly checks • Leak-up rate – gate valve closed, measure rate of pressure rise: should be <1mTorr/minute. • Partial pressure checks - measure pressure versus flow for all MFCs individually: see relevant calibration graph. This will identify problems with MFCs or pumping. • Fill rates (if possible), i.e. measure rate of pressure rise with APC closed (can be performed using leak check software, if present), for each gas at a range of flow rates, and in particular at the flow rates of the processes in use. This may not be possible for very high flow rates and is not recommended for flammable or pyrophoric gases. This will identify problems with MFCs or gate valve seals. • Pumpdown times from vent to base pressure e.g. 10mTorr. For example, this would be typically 18 to 20 seconds for a rotary system. This will identify problems with pumping performance. Process Information (Information contained in this document is confidential) Page 5 of 14 Issue 1: August 07 Printed: 08 October 2007 11:00 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= PKO ^ia=éêçÅÉëëÉë= PKOKN ^ia=çéÉê~íáåÖ=é~ê~ãÉíÉê=ê~åÖÉë= System Manual The typical process operating ranges are: Total gas flows = 50 to 500 sccm. The maximum flow depends on type of pumps fitted to the system i.e. their maximum flow capacity, their pumping performance, and the required operating pressure. If you need to use a low pressure, you may have to limit the flow rate to achieve this. Pressure = 100 to 1000mTorr. The pressure is set by gas flow and depends on the process. The system base pressure is measured simply by using the CM gauge, hence base pressures are typically a few mTorr. The exact value is mainly determined by the offset of the CM gauge zero (this is usually set slightly positive by a few mTorr to ensure a sensible reading, since a negative offset will always read zero). RF power = typically 100W to 250W. A plasma may not strike easily for low power levels for certain gases. You will need to check this and adjust the process accordingly, since operating the system without a plasma could cause damage. Temperature is limited by the operating range of the electrode, up to 400 °C and jacket heaters ranging from 30 °C to 200 °C. NOTES: (A) The time taken to reach the base pressure will depend on whether the chamber has recently been vented to atmosphere, the cleanliness of the chamber walls and if the chamber has been baked. (B) Operating with a high reflected power (>5% of forward power) is not advised, as this will cause damage to the matching unit or RF generator. To reduce the high reflected power, adjust the process parameters or re-tune the matching unit. Process Information (Information contained in this document is confidential) Issue 1: August 07 Page 6 of 14 Printed: 08 October 2007 11:00 System Manual= PKOKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i f`m=çéÉê~íáåÖ=é~ê~ãÉíÉê=ê~åÖÉë= For an ICP 65 the process operating ranges are: Total gas flows = 10 to 1000sccm. The maximum flow depends on type of pump, i.e. its maximum flow capacity, and the required operating pressure. If you need to use a low pressure, you may have to limit the flow rate to achieve this. Pressure = 10 to 1000mTorr. The system base pressure is measured simply by using the CM gauge, hence base pressures are typically a few mTorr. The exact value is mainly determined by the offset of the CM gauge zero (this is usually set slightly positive by a few mTorr to ensure a sensible reading, since a negative offset will always read zero). ICP power = approximately 50W to 250W. The minimum power level will be dependent on how easily the plasma strikes for certain gases. You will need to check this and adjust process accordingly, since operating system without a plasma either on the substrate electrode or in the ICP tube could cause damage. The maximum ICP power limit is set by the power rating of the RF generator. Temperature is limited by the operating range of the electrode. NOTES: (A) The time taken to reach the base pressure will depend on whether the chamber has recently been vented to atmosphere and the cleanliness of the chamber walls. If the process chamber / electrodes are anodised, the time will increase as the anodised surfaces will take longer to outgas compared with bare metal surfaces. (B) Operating with chlorine based processes can cause damage to the electrode unless it is protected with a dummy wafer. (C) Operating with a high-reflected power (>5% of forward power) is not advised, as this will cause damage to the matching unit or RF generator. To reduce the high-reflected power, adjust the process parameters or re-tune the matching unit. PKOKP mêÉÅìêëçêë=ïáíÜ=äçï=î~éçìê=éêÉëëìêÉ= Gases with a low vapour pressure (e.g. TEMAH) present unique problems for the gas supply system, e.g. temperature dependence of gas pressure, condensation in the gas lines, and low line pressure. It is recommended that delivery lines are always heated above the condensation temperature and the lines are always > 20 C higher than the source pot temperature. PKOKQ =d~ë=Å~äáÄê~íáçå=Ñ~Åíçêë= See the MKS OEM manual for details of gas correction factors. However, it is worth pointing out that for certain gases (e.g. H2 or He) it is recommended that the MFC is calibrated for that particular gas, since they have very different gas properties compared to other gases, and hence the errors on calibrations factors is large. PKOKR bñÜ~ìëí=Éãáëëáçåë= The by-products emitted by an ALD process will be mostly made up of the inert gases, methyl, ethyl and ammonia like by-products. The exact amounts will depend on process type and conditions. These can be any combination of etch gas material and etched material. Process Information (Information contained in this document is confidential) Page 7 of 14 Issue 1: August 07 Printed: 08 October 2007 11:00 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual As many of these by-products are toxic, it is a minimum requirement that these gases are exhausted in an enclosed extraction system to the roof of the building - following health and safety regulations. In addition to this, depending on local regulations, it may be necessary to have some form of gas scrubbing before releasing these materials to the atmosphere. Even if we were not running gases through the system, we would recommend that the system exhaust is extracted correctly, since the pump exhaust will contain small droplets of pump oil which are in themselves harmful to lung function. Another important consideration is the gas absorbed in the pump oil. Since the exhaust gases contain HF there will be a build up of HF in the pump oil. Therefore, it is important to use the correct protective equipment when servicing the pump or changing pump oil, i.e. suitable gloves, protective clothing, filtered facemask or breathing apparatus. It is also worth remembering that when using O2 processes the pump oil should be Fomblin oil and NOT mineral oil to avoid risk of fire or explosive reaction between O2 and mineral oil. PKOKS mêÉã~íìêÉ=Ñä~âáåÖ=çÑ=ÅÜ~ãÄÉê=ï~ää=äáåÉêë=L=ëÜçïÉêÜÉ~Ç=ã~íÉêá~ä= Premature flaking of chamber wall liners / showerhead material can occur for a number of reasons: 1) Temperature cycling of showerhead / chamber walls can cause flaking, therefore it is important that chamber walls are set to a stable temperature, e.g. 60C. It is also important that electrode temperature is maintained at a constant value as this will also affect showerhead temperature. 2) The system should NEVER be switched off overnight to save power. The system should be left pumping with electrode maintained at deposition temperature and the chamber walls and delivery lines maintained at temperature at all times to avoid flaking. 3) Incomplete cleaning during a previous clean cycle can lead to premature flaking. 4) Wiping of chamber and or showerhead with water or IPA can leave residues which subsequently causes early flake-off of films deposited. 5) Wiping of chamber walls / showerhead with clean room wipes while they are hot can also leave behind residues which cause premature flaking. 6) Repeated venting of chamber will cause flaking. This one of the main reasons it is recommended to clean every 5-10 microns of film. 7) Mixed deposition of oxide, nitride, and oxynitride films can cause increased stresses in deposited films and hence premature flaking. 8) Changes to standard recipes can also cause increased stress and hence premature flaking. As part of the regular maintenance of the system the showerhead must be bead blasted. This is the only Oxford Instruments Plasma Technology approved way of cleaning a showerhead. The use of solvents and ultra-sonic baths is strongly discouraged. Scrubbing with Scotchbrite is also not recommended. OIPT will not be able to support you if you use these alternative cleaning methods and still experience problems the problems described in the above table with showerhead particles. Recommended bead blasting specification: Bead blast using alumina powder (aluminium oxide beads) of 180 grit size or less - maybe 120. Do not use any solvents. Clean the showerhead after bead blasting using compressed air only. Hold the showerhead up to the light to check that none of the holes are blocked by any grit from the bead blasting. Clean out holes with paper clip or similar if blocked. Process Information (Information contained in this document is confidential) Issue 1: August 07 Page 8 of 14 Printed: 08 October 2007 11:00 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= PKP mêçÅÉëë=íêçìÄäÉëÜççíáåÖ= PKPKN m~êíá~ä=éêçÅÉëë=Ñ~áäìêÉ= PKPKNKN bñ~ãéäÉ=éêçÄäÉãë= • • Deposition rate has dropped Non-uniform deposition PKPKNKO lé^i qóéáÅ~ä=Å~ìëÉë= • Precursor source pot is empty • Blockage in the delivery line. Follow H&S procedure • Showerhead plate is clogged • Chamber leak – Check leak-up rate • Faulty MFC – check partial pressures • Check MFCs / Pumps • RF generator/matching – adjust matching unit set-up, check generator range switch, watch HF matching time in mixed frequency pulsed process • Incorrect gases used • Temperature – cooling or heater failure • Chamber is dirty – needs more frequent cleaning • Incorrect process regime (knife-edge process) • Wrong process for given hardware - no pumpdown time, no preheat step, no pre-clean step PKPKO qçí~ä=éä~ëã~=Ñ~áäìêÉ= PKPKOKN bñ~ãéäÉ=éêçÄäÉãë= • • • Plasma does not ignite/light up Plasma is unstable/pulsing Plasma is flickering PKPKOKO qóéáÅ~ä=Å~ìëÉë= Check that readbacks are within tolerance – MFCs, pressure control, RF matching, temperature. Check that base pressure has been reached (this can always be changed if you are in a hurry!) Read error / warning / information messages Check that plasma is striking Try selecting ‘ignore tolerance’ checkbox Sudden pressure rise – check for dissociation, - try Ar instead, strike at reduced ICP power Process Information (Information contained in this document is confidential) Page 9 of 14 Issue 1: August 07 Printed: 08 October 2007 11:00 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual Sudden pressure rise at plasma strike – check for cross talk between RF power and CM gauge Sudden temperature rise at plasma strike – check for cross talk between RF power and temperature gauge Sudden gas flow change at plasma strike – check for RF cross talk Process Information (Information contained in this document is confidential) Issue 1: August 07 Page 10 of 14 Printed: 08 October 2007 11:00 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= lé^i Q däçëë~êó=çÑ=íÉêãë= AMU Abbreviation for Automatch Unit. This is a self-controlling variable capacitor, which is connected between an electrode (to which it is normally close-coupled) and the discharge power supply. Its purpose is to shift the voltage and current waveforms to maximise the power transfer. It also transforms the load impedance to 50Ω. Rotary pump A pump downstream of the chamber (See also Rotary pump) Baratron See CM gauge. Baratron is a trade name. Base pressure The lowest pressure attainable by a high vacuum pump. Alternatively, the pressure, which should be attained before starting a process. Clean gas This refers, in fact, to 'cleaning gas'. It is a gas which, when converted to a plasma, removes contamination from the walls of the chamber and from the electrodes. CM gauge (Baratron) Capacitance manometer gauge in which gas pressure deflects a membrane and thus a measured capacitance. Measures absolute pressure down to approximately 10-5 Torr. Not affected by corrosive gases. Does not need a correction factor for different types of gas. Electrode One of two metal plates within the process chamber, which form part of the electrical discharge system. The lower electrode is sometimes referred to as the 'table'. They are fabricated from aluminium alloy or stainless steel, and may either be heated by integral electrical resistance elements, or cooled by chilled water pipes. Gas ballast Inert gas introduced into a port on a rotary pump to improve its ability to pump condensable vapours. Gas factor Ion gauges, Pirani gauges, Penning gauges and mass flow controllers need to be adjusted when run on different gases, to prevent them from being inaccurate. This 'gas factor' depends on the gas and also the type of instrument. Gate valve A high vacuum valve with a stainless steel shutter having linear motion. It may be used for high vacuum isolation and also for pressure control. ICP An abbreviation for Induction Coupled Plasma. Interlock A safety device (either software or electrically implemented) that allows a piece of apparatus to function only when predetermined conditions are fulfilled. Ion gauge (Bayard-Alpert gauge) This gauge uses a glowing cathode to emit electrons. Any positive ions created by collisions with gas molecules are collected on a thin central ion collection wire. The ion current varies with the gas density. Used for checking very low base pressures down to 10-10 Torr. It needs to be calibrated to the gases being measured (see Gas factor). The filament lifetime will be limited in reactive gases. Process Information (Information contained in this document is confidential) Page 11 of 14 Issue 1: August 07 Printed: 08 October 2007 11:00 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual Leak up rate The rate of increase of pressure, due to leakage and outgassing, in a sealed chamber, which has been pumped down to base pressure. MFC Short for mass flow controller. This is a closed loop device, which controls the flow rate of piped gases under the control of an analogue signal. It also outputs a measured flow rate analogue signal. It needs to be calibrated to the gases being controlled (see Gas factor). Micron Unit of pressure; 10-3 Torr. Equivalent to the pressure required to support a column of mercury one micron (length) high. Micron Unit of length; 10-6 metres. Millibar Unit of pressure; 1/1000 of one atmosphere or bar. Nupro valve Nupro is a manufacturer of commonly used pneumatically operated gas line valves. Outgassing The vaporisation of contaminants from the surfaces of the components exposed to the vacuum. PFPE An abbreviation for perfluorised polyether lubricating fluid. This synthetic lubricant is used in a highly oxidising environment where mineral (hydrocarbon) oils would deteriorate too rapidly. Plasma A region of electrons, positive ions and neutral gas particles created between electrodes within which the various etching or deposition processes take place. Plasma system This generates a plasma above a substrate in a vacuum chamber and uses the action of the plasma to etch from, or deposit material onto, a substrate. Platen The plate, which supports the substrate to be processed. RF An abbreviation for Radio Frequency, often 13.56 MHz. Roughing pump A secondary pump, which reduces the chamber pressure from atmospheric to a point at which the high vacuum (or main) pump takes over for a further reduction of pressure. (See also rotary pump) Sample See Substrate Shower head A form of top electrode having perforations through which the process gas is introduced into the chamber. SMC valve SMC is the manufacturer of commonly used solenoid operated pneumatic valves. The valves are normally closed, and on receipt of an electrical signal, the pneumatic valve opens. In some cases, the opposite action is used, for example to vent a turbo pump if system power is lost. Specimen See substrate. Substrate / wafer / specimen / sample The item to be processed in the vacuum chamber. TEMAH Abbreviation for Tetrakis Ethyl Methyl Amino Hafnium. TEMAH is a ALD processes. Process Information (Information contained in this document is confidential) Issue 1: August 07 Page 12 of 14 Printed: 08 October 2007 11:00 System Manual= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= Torr Unit of pressure; 1/760 of one atmosphere or bar. Wafer See Substrate. Vent Introduce high purity nitrogen into a chamber or pump to raise it to atmospheric pressure. lé^i Process Information (Information contained in this document is confidential) Page 13 of 14 Issue 1: August 07 Printed: 08 October 2007 11:00 lé^i= lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó= System Manual R lfmq=äçÅ~íáçåë=ïçêäÇïáÇÉ UK Oxford Instruments Plasma Technology North End, Yatton, Bristol, BS49 4AP Tel: +44(0)1934 837000 Fax: +44(0)1934 837001 Email: [email protected] Web: www.oxfordinstruments.com/plmchp5.htm USA Oxford Instruments Inc. 130A Baker Avenue Extension Concord, MA 01742 Tel: +1 978 369 9933 Toll Free +1 800-447-4717 Fax: +1 978 369 8287 Email: [email protected] Germany Oxford Instruments GmbH Otto-von-Guericke Ring 10, D-65205 Wiesbaden Tel: +49(0)6122 937161 Fax: +49(0)6122 937175 Email: [email protected] Japan Oxford Instruments K.K. 2-11-6 Tomioka Koto-ku, Tokyo 135-0047 Tel: +81-3-5245-3261 Fax: +81-3-5245-4466 Email: [email protected] Web: www.oxford-instruments.jp People’s Republic of China (Beijing) Oxford Instruments China Room 714, Office Tower 3, Henderson Center, No. 18 Jianguomennei Ave, Dongcheng District, Beijing 100005 Tel: +86 106518 8160/1/2 Fax: +86 106518 8155 Email: [email protected] Web: www.oxford-instruments.com.cn People’s Republic of China (Shanghai) Oxford Instruments China Room 14-F, No.1 Plaza 800 Nanjing East Road Shanghai 200001 Tel: +86 216360 8530 Fax: +86 216360 8535 Email: [email protected] Web: www.oxford-instruments.com.cn Singapore Oxford Instruments Pte. Ltd 371 Beach Road, #02-07 Keypoint Singapore 199597 Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] Taiwan Oxford Instruments Overseas Marketing Ltd. th 1F, No 23 Jing-Shang 19 Street, Hsinchu, Taiwan Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] Process Information (Information contained in this document is confidential) Issue 1: August 07 Page 14 of 14 Printed: 08 October 2007 11:00 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. V råáåëí~ää~íáçå=~åÇ=aáëéçë~ä= 9 Uninstallation and Disposal ........................................................................................................ 9-1 9.1 About this section .................................................................................................................................9-2 9.2 Uninstalling the system ........................................................................................................................9-2 9.2.1 General considerations for shutting the system down ................................................................9-2 9.2.2 Shutting the system down .............................................................................................................9-2 9.2.3 Disconnecting the services .............................................................................................................9-3 9.2.4 Decontamination ............................................................................................................................9-4 9.2.5 Dismantling the system components ............................................................................................9-4 9.3 Disposal..................................................................................................................................................9-5 Printed: 7-Oct-07, 12:11 Uninstallation and Disposal Page 9-1 of 6 Issue 1: February 00 lé^i lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. VKN ^Äçìí=íÜáë=ëÉÅíáçå= This section gives guidance for the uninstallation of an lé^i=system prior to moving it to another location or disposing of it. Guidance is also given for the disposal of a system. The guidance given is by necessity of a general nature; detail will vary depending on system type, customer’s site, etc. IMPORTANT: BEFORE UNINSTALLING THE SYSTEM, ENSURE THAT ALL PERSONNEL WHO WILL BE INVOLVED HAVE READ AND UNDERSTOOD SECTION 1 ‘HEALTH AND SAFETY’ OF THE SYSTEM MANUAL. VKO råáåëí~ääáåÖ=íÜÉ=ëóëíÉã= To uninstall the system, carry out the following sub-sections in sequence. VKOKN dÉåÉê~ä=ÅçåëáÇÉê~íáçåë=Ñçê=ëÜìííáåÖ=íÜÉ=ëóëíÉã=Ççïå= The main use of this document will be when a customer requires to move a system to another location. This will involve uninstalling the system, moving the system components and then reinstalling the system. An important consideration is the length of time between shutting the system down and powering the system up. The longer the system is shut down, the greater the need to remove as much residue gas as possible. It is very important that the customer follows the pump manufacturer’s instructions for purging pumps prior to shut down. For periods of more than a few days shutdown, 24 hours of N2 purging is often recommended. If the system is to be reinstalled, the vacuum system should be left fully vented with dry nitrogen, with the pump and gas supply service points capped. VKOKO pÜìííáåÖ=íÜÉ=ëóëíÉã=Ççïå= 1) Chamber1 or source2 plasma cleaning should be conducted to remove residues. Use the normal cleaning process according to the most recent use of the tool. 2) Process gas supply lines must be pumped down using the system at least as far as the last isolation valve upstream from the gas pod. Consider whether the gases should be pumped out back to the cylinder, or whether the gas installation has alternative means of exhausting hazardous gases. For shut down periods of longer than two days, purge the gas lines with N2. 3) Precursor gas delivery lines must be pumped and purged to the source pot connection. Refer to Appendix PSP (Precursor Source Pot installation and Decommissioning). 4) Pump the system according to the following criteria: Pump the system for one hour with a small N2 or Argon flow, and then pump for a further hour with no gas flow. 1 2 For OpAL system, refer to Section 6 (Maintenance) of the System Manual. Run a SF6 / O2 plasma for > 1 hour at ~250W Issue 1: February 00 Uninstallation and Disposal Page 9-2 of 6 Printed: 7-Oct-07, 12:11 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 5) The process chamber should be vented with dry nitrogen and the process gas inlet blanked. 6) Ensure that the system has been shut down in accordance with the procedure given in Section 5 (Operating Instructions). 7) Depending on system type, ensure that the front panel compressed air lockout valves are set to their OFF positions and padlocked. (e.g.gate valves, RGA, etc. if fitted) VKOKP aáëÅçååÉÅíáåÖ=íÜÉ=ëÉêîáÅÉë== IMPORTANT: BEFORE DISCONNECTING ANY OF THE SERVICES, ENSURE THAT THEY ARE TURNED OFF. E.G. COMPRESSED AIR AND GAS SUPPLY VALVES SET TO THEIR OFF POSITIONS AND ELECTRICAL SUPPLIES SWITCHED OFF AND LOCKED OUT. 1) Ensure that all covers and panels are fitted and attach notices to the system indicating that the system is not ready for service. 2) Disconnect the electrical supply from the PC. 3) Disconnect the electrical supply from the safety isolation box to the system console. 4) At the system PC, disconnect the monitor, keyboard and mouse, then disconnect the control cable(s) from the PC to the system console. 5) Disconnect the safety earth (ground) between the system and the gas pod, if the gas pod is not bonded to the system. 6) Disconnect the cooling circuits from the system console. Coolant may be removed, if so wished by using low pressure (< 1 bar gauge) compressed air. Do not seal the cooling circuits tightly. 7) Disconnect the gas outlet line(s) and control cable from the gas pod to the system services panel. 8) Disconnect the precursor source pots and cap the inlet and outlet ports 9) Disconnect the gas supplies to the internal and external gas pod (all gas supply valves closed). 10) Disconnect the compressed air supply from the system services panel(s) and from the gas pod. 11) Disconnect the Nitrogen purge lines to the system services panel(s) and the rotary vane pump(s). 12) Disconnect the rotary pump(s) from the system and cap the pump ports and the system vacuum ports. 13) Disconnect the rotary vane pump exhaust line. 14) Disconnect the extraction collars on the process chamber(s) (e.g. ICP process chambers) and the gas pod from the extraction systems. Printed: 7-Oct-07, 12:11 Uninstallation and Disposal Page 9-3 of 6 Issue 1: February 00 lé^i lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. VKOKQ aÉÅçåí~ãáå~íáçå= Remove any hazardous residues/deposits from the process chamber, precursor delivery lines, pumps and pump lines, gas lines and mass flow controllers in accordance with local safety regulations. Refer to equipment manufacturer’s manuals as necessary. Note that if the system is to be moved and re-installed, it may be acceptable to purge the gas lines / mass flow controllers and ALD valves with dry nitrogen and seal all connections. VKOKR aáëã~åíäáåÖ=íÜÉ=ëóëíÉã=ÅçãéçåÉåíë= WARNING LIFTING HEAVY OBJECTS INCORRECTLY CAN CAUSE SEVERE INJURY When handling heavy system components such as the system unit or vacuum pumps, ensure that the appropriate lifting equipment, operated by fully trained personnel, is used. When heavy rack-mounted components are handled, ensure that the weight is safely distributed between sufficient personnel. WARNING TOPPLING (TIPOVER) HAZARD – SOME SYSTEM COMPONENTS, E.G. ROBOTIC HANDLERS AND AUTOMATIC LOAD LOCKS / TRANSFER CHAMBERS (ESPECIALLY IF FITTED WITH CASSETTE LOAD LOCKS) CAN TOPPLE CAUSING SEVERE INJURY. When transporting or manoeuvring the system frames, robotic handlers etc., ensure that they remain vertical at all times and use the appropriate lifting / handling equipment. Ensure that any support frames, supplied with the system, are correctly fitted whenever the system is transported / manoeuvred or dismantled for service / maintenance. It is entirely the user’s responsibility to ensure that all components are supported safely before and during any transporting, manoeuvring or maintenance operations. Support frames provided by Oxford Instruments Plasma Technology are not necessarily adequate for any such operations. The absence of a support frame must not be taken as an indication that no further precautions need to be made before such operations are undertaken. 1) In the grey area, remove the rotary vane pump from its mounting and prepare it for transport in accordance with the pump manufacturer’s instructions. Refer to the manufacturer’s literature in Volume 3 of this manual. 2) Remove the gas pod from its mounting and prepare it for transport. 3) Prepare the system PC for transport. 4) Prepare the system frames for transport by disconnecting processing modules from the transfer chamber (if applicable) and fitting the castor assemblies. Issue 1: February 00 Uninstallation and Disposal Page 9-4 of 6 Printed: 7-Oct-07, 12:11 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. VKP aáëéçë~ä= This sub-section gives guidance for disposing of the system at the end of its life. The disposal must be carried out in accordance with local safety regulations. To dispose the system, use the following procedure: 1) Carry out the uninstallation procedure given in sub-section 9.2, page 9-2 2) Locate, remove and dispose of any hazardous materials in accordance with local safety regulations, e.g. batteries, o-rings, oil etc. Refer to manufacturer’s manuals. 3) Dispose of the remainder of the system in accordance with local safety regulations. Printed: 7-Oct-07, 12:11 Uninstallation and Disposal Page 9-5 of 6 Issue 1: February 00 lé^i lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. NOTES: Issue 1: February 00 Uninstallation and Disposal Page 9-6 of 6 Printed: 7-Oct-07, 12:11 System Manual Appendix A Oxford Instruments Plasma Technology Plasmalab and Ionfab Measurement of radio frequency and microwave emissions 1. Scope of testing ................................................................................................................. 2 2. Method of testing............................................................................................................... 2 3. Acceptable exposure standards .......................................................................................... 3 4. System design .................................................................................................................... 3 Printed: 5-Jun-09, 9:58 Measurement of RF & Microwave Emissions Page 1 of 4 Issue 2: December 01 Plasmalab and Ionfab System Manual Oxford Instruments Plasma Technology WARNING THIS APPENDIX COVERS ALL OF THE CURRENT REQUIREMENTS FOR THE MEASUREMENT OF RADIO FREQUENCY AND MICROWAVE EMISSIONS FOR THE OXFORD INSTRUMENTS PLASMA TECHNOLOGY'S RANGE OF PRODUCTS. ENSURE THAT THE ENTIRE APPENDIX IS READ AND UNDERSTOOD BY ALL INVOLVED PERSONNEL AND THAT THE TESTS RELEVANT TO THE INSTALLED SYSTEM ARE CARRIED OUT AT THE SPECIFIED PERIODICITY. 1. Scope of testing Systems which contain RF generators, both Ion Beam and Plasma systems will be tested for the emission of energy prior to shipment. They will also be tested routinely every three months during use, or as required by safety standards at the customer's site, if this is more frequent. Systems must also be tested after maintenance, if the maintenance has involved RF shielding components such as covers and viewports, or components in the process chamber such as feedthroughs and vacuum gauges. Systems with RF generators in the frequency range of 0.1 MHz to 27.12 MHz must be tested for emissions by measuring separately both the electric (E) and magnetic (H) field strengths. Either field can be a safety hazard, hence the need to test both. Systems with microwave frequency generators at 2.45 GHz will only be tested for power, usually by measuring the electric (E) field. 2. Method of testing Suitable test meters are the Narda 1 8511 for 1.7MHz to 2.1MHz, the Narda 8512 for 13.56 MHz and 27.12 MHz, and the Holaday 2 1501 for 2.45 GHz. Equivalent meters from other vendors are acceptable. The test meter MUST have a current calibration certificate. Note that if an alternative 13.56 MHz meter is used, it should be able to detect the presence of 27.12 MHz as well as 13.56 MHz. Testing must be performed on the system in its normal operating configuration, with the usual covers and components in place. The system must be operating at maximum reasonable power, and must be tested both in the presence and the absence of plasma. The field strength must be measured 50 mm (2 inches) away from the system, at all points that can be reached by hand with the probe (If parts of the system are inaccessible from the ground, a stepladder must be used). The probes of the above meters are designed so that the correct distance is obtained if the head of the probe is touching the system. Particular attention must be given to viewports, doors and flanges, the automatch unit, and the whole length of waveguides and RF power cables. If viewports are fitted with shutters, then tests must be made with the shutter both open and shut. All cables leaving gauges and other feedthroughs in the process chamber and the pumping system are suspect, and must be checked along their entire length. The pumping system and any separate system racks and power box must also be checked. It is strongly recommended that the operation of all safety interlocks should be tested at the same time, whenever an RF or Microwave leakage test is performed. 1The Narda Microwave Corporation, Plainview, New York 11803. 2Holaday Industries Inc, 14825 Martin Drive, Eden Prairie, MN 55344. Issue 2: December 01 Measurement of RF & Microwave Emissions Page 2 of 4 Printed: 5-Jun-09, 9:58 System Manual Oxford Instruments Plasma Technology Plasmalab and Ionfab 3. Acceptable exposure standards Readings must be equal to or less than the levels shown in Table 1 at all points. Exceptions will only be permitted in certain special circumstances. These exceptions will be clearly documented in the system instructions. In all other situations, these standards must be strictly met. Frequency Electric Field (E) V/m mW/cm2 614 614 1842/f 900/f2 f/300 Magnetic field (H) A/m mW/cm2 16.3/f 16.3/f 16.3/f 10000/f2 f/300 0.1 to 1 MHz 1.7 to 2.1 MHz 13.56 MHz and 27.12 MHz 2.45 GHz Note – f is the frequency in MHz Reference: ANSI/IEEE C95.1 maximum permissible exposure for controlled environments. Table 1 - Maximum permitted field strengths 4. System design RF and Microwave components such as RF ion sources may be purchased from Oxford Instruments Plasma Technology and fitted to the customer's system. Such an installation requires a system designed to prevent leakage of RF and Microwave emissions, and requires careful testing by the installer before use. It is not possible to give a full list of necessary safety precautions, and advice should be sought from a competent authority. However, some of the points to be considered are as follows: (a) The system and all of its assemblies should be very well grounded (earthed), using low impedance straps, and ensuring impedances between power supplies and the chamber of 0.1 Ohms at 25 A. (b) Viewports should be shielded with fine conducting mesh to prevent the transmission of RF and Microwave energy, and should be filtered to prevent the transmission of UV light. (c) Doors and flanges should provide metal-to-metal contact. In case of doubt, and in the case of access doors, the use of copper beryllium finger strips or wire mesh over elastomer core (e.g. Zemrex products from Warth International 3 should be considered. Small flanges in the vacuum system should be joined with metal clamps, not plastic. (d) All types of electrical feedthrough and vacuum gauges, together with cables leaving them, may need shielding. (e) Interlocks will need to be wired into the system to ensure safe operation. These will include interlocks with the system access door, the customer's water supply to the RF product, and the system vacuum. The product purchased from Oxford Instruments Plasma Technology will also have interlock switches. These interlocks must all force the disconnection of power from the RF, Microwave and HV power supplies if they are opened. See the product manual for more details. 3Warth International Ltd, Charlswood Road, East Grinstead, Sussex, England, RH19 2HH. Printed: 5-Jun-09, 9:58 Measurement of RF & Microwave Emissions Page 3 of 4 Issue 2: December 01 Plasmalab and Ionfab Oxford Instruments Plasma Technology System Manual NOTES: Issue 2: December 01 Measurement of RF & Microwave Emissions Page 4 of 4 Printed: 5-Jun-09, 9:58 System Manual Appendix B Appendix B Oxford Instruments Plasma Technology Plasmalab and Ionfab Operation and maintenance of turbomolecular pumps Operation and maintenance of turbomolecular pumps .............................................. 1 1 Maintenance for all Turbo Pumps ....................................................................................... 2 2 Maintenance for Alcatal ATP/ACT Turbo pumps .................................................................. 3 2.1 Re-greasing of turbo pumps fitted to process chambers....................................................... 3 2.2 2000 to 8000 hours (3 to 12 months): pump relubrication ................................................... 3 Printed: 10-Jun-09, 6:52 Operation and Maintenance of Turbo Pumps Page 1 of 4 Issue 3: June 02 Plasmalab and Ionfab Oxford Instruments Plasma Technology System Manual 1 Maintenance for all Turbo Pumps Please note that premature failure of Turbomolecular Pumps can be caused by failing to observe the following recommendations: a) Always follow the maintenance and operating instructions contained in the manufacturers' manuals, copies of which are provided within the system manuals. Note that with some types of pump the lubricant should be replaced when the total running time reaches 500 hours. b) When corrosive process gases are being used always purge the turbo pump with dry nitrogen during a processing run. If the process chamber is to be vented adequate time must first be allowed for the process gases to be pumped away. c) If corrosive process gases are used in a system with no gate valve between the turbo pump and the process chamber and the system is to be left for more than one hour with the turbo pump not running, proceed as follows: d) Issue 3: June 02 1) Turn off all the manual gas taps on the process gas lines. Also turn off the gas taps on the process gas cylinders. 2) Close the chamber door. 3) Pump the process chamber and turbo pump down to approximately 1 x 10 -4 millibars. 4) Vent the process chamber and turbo pump to atmospheric pressure with dry nitrogen. Do not allow the chamber door to open. 5) Repeat Steps 3 and 4 three times. 6) Seal the process chamber and turbo pump. If corrosive process gases are used in a system with a gate valve between the turbo pump and the process chamber and the system is to be left for more than one hour with the turbo pump not running, proceed as follows: 1) With the exception of the Argon and Nitrogen lines, turn off all the manual gas taps on the process gas lines and also turn off the gas taps on the process gas cylinders. 2) Close the chamber door. 3) Run a 'process' sequence (without any samples loaded) using only Argon or Nitrogen as process gases for at least 10 minutes. 4) Vent the process chamber and vent the turbo pump to atmospheric pressure with dry nitrogen. Do not allow the chamber door to open. 5) Pump the process chamber and the turbo pump down to 1 x 10-4 millibars and then vent them with dry nitrogen. 6) Repeat Step 5 three times. 7) Seal the process chamber and the turbo pump. Operation and Maintenance of Turbo Pumps Page 2 of 4 Printed: 10-Jun-09, 6:52 System Manual Oxford Instruments Plasma Technology Plasmalab and Ionfab 2 Maintenance for Alcatal ATP/ACT Turbo pumps 2.1 Re-greasing of turbo pumps fitted to process chambers The following instruction applies to conventionally greased bearing turbo pumps, e.g. the Alcatel ATP series. It does not apply to Maglev turbo pumps. To prevent damage to turbo pumps fitted to process chambers, OIPT strongly recommends that bearing re-greasing is carried out periodically after every 2500 hours of pump running time. Details of re-greasing are given in the following sub-section. 2.2 2000 to 8000 hours (3 to 12 months): pump relubrication CAUTION Failure to perform regular pump maintenance will result in pump warranty being suspended. Refer to the manufacturer’s manual in Volume 3 of this manual. Alcatel ATP series turbo pumps are conventional greased bearing pumps. Periodically, these bearings need to be re-greased. The re-greasing interval depends on a number of factors; Refer to the graph in the Maintenance section of the manufacturer’s manual to establish the re-greasing interval for the pump in your system. Remember that turbo pumps fitted to process chambers must be re-greased at 2500 hour intervals. The re-greasing interval for turbo pumps fitted to load locks and transfer chambers is not so critical, therefore the manufacturer’s recommendations can be used. The ATP turbo pumps are supplied with series ACT intelligent controllers which are menu-driven. The ‘SET-UP’ menu allows the re-greasing interval to be set so that a visual warning with an optional audible alarm is displayed at the relevant time. Note that this visual warning does not require any action immediately; it only indicates that the bearing should be re-greased at the next available opportunity. If required, the visual warning and audible alarm can be disabled via the ‘SET-UP’ menu. When the pumps are supplied, the ACT controller is pre-set with a 5,000 hour re-grease interval. If the pumps are running continuously, the visual re-grease warning will be automatically triggered after approximately seven months. The customer is advised to use the ‘SET-UP’ menu to set the re-greasing interval for the pump fitted to his system. Refer to the pump manufacturer’s manual. Note that this change takes approximately five minutes. When the re-greasing time is reached, lubrication is carried out using a pre-loaded syringe to apply a metered quantity of a specific grease to one point on the bearing. Refer to the pump manufacturer’s manual. The Alcatel part numbers of the syringes are: Pump Type ATP80 150C/400HPC/900HP C Pre-loaded Syringe Part Number 056993 101924 Note that the grease syringe has a limited shelf life so shouldn’t be ordered from the Alcatel service centre until it is needed. Alcatel exercises strict stock control on this item to ensure that the grease is always in good condition. Printed: 10-Jun-09, 6:52 Operation and Maintenance of Turbo Pumps Page 3 of 4 Issue 3: June 02 Plasmalab and Ionfab Oxford Instruments Plasma Technology System Manual Once the grease has been applied to the bearing, it needs to be evenly distributed around the bearing. This is done automatically by using the ACT controller’s ‘RUNNING IN’ menu. Note that the grease distribution takes approximately 2.5 hours. Issue 3: June 02 Operation and Maintenance of Turbo Pumps Page 4 of 4 Printed: 10-Jun-09, 6:52 OpAL Open Load Atomic Layer Thermal Deposition System Installation Data Typical OpAL thermal system Issue 3: October OpAL Installation Data Oxford Instruments Plasma Technology Change Record Sheet Issue No. 01 02 03 Details of change First Issue Whole Document reviewed and updated Fig 3 updated. Fig 4 and Fig 5 added (showing process chamber open) Issue 3: October 08 Installation Data Page 2 of 22 Date Aug. 07 Aug. 08 Oct. 08 Printed: 7-Aug-09, 8:03 Installation Data Oxford Instruments Plasma Technology OpAL Contents Change record sheet 1. Introduction ........................................................................................................... 4 2. Installation information .......................................................................................... 5 2.1 Dimensions...................................................................................................... 5 2.2 Weights ........................................................................................................... 5 2.3 Heat load ......................................................................................................... 5 2.4 Sound level ...................................................................................................... 5 3. Installation diagrams .............................................................................................. 6 4. Services connections ............................................................................................ 13 5. Gas handling ........................................................................................................ 15 6. Services................................................................................................................ 16 6.1 Electrical Supply requirement ......................................................................... 16 6.2 Water Cooling requirements ........................................................................... 16 6.2.1 Lower Electrode ......................................................................................... 16 6.3 Compressed Dry Air (CDA) requirement.......................................................... 16 6.4 Nitrogen requirement..................................................................................... 17 6.5 Process gas requirement ................................................................................ 17 6.6 Extraction requirement .................................................................................. 17 7. Pump set information ........................................................................................... 18 7.1 Rotary pump purging ..................................................................................... 18 8. Interlocks ............................................................................................................. 19 8.1 General description........................................................................................ 19 8.2 Gas box interlocks ......................................................................................... 21 8.2.1 Incompatible gases.................................................................................... 21 8.3 System LED Monitoring Table ......................................................................... 22 9. Precursor Information........................................................................................... 22 10. OIPT locations worldwide...................................................................................... 22 Fig 1: OpAL services ........................................................................................................ 6 Fig 2: Front view ............................................................................................................. 7 Fig 3: Plan view ............................................................................................................... 8 Fig 4: Plan view with process chamber open .................................................................... 8 Fig 5: 3-D view with process chamber open .................................................................... 9 Fig 6: Console - rear view with dimensions ................................................................... 10 Fig 7: Console - rear view ............................................................................................. 11 Fig 8: Console – precursor cabinet rear view.................................................................. 12 Fig 9: Services connector locations ................................................................................ 13 Fig 10: Electrical connections ........................................................................................ 14 Fig 11: 8-Line gas pod .................................................................................................. 15 Fig 12: Gas box interlock chain ..................................................................................... 21 Table 1: Services connector identification ...................................................................... 13 Printed: 7-Aug-09, 8:03 Installation Data Page 3 of 22 Issue 3: October 08 OpAL 1. Oxford Instruments Plasma Technology Installation Data Introduction This installation specification document gives information about the OpAL (ALD) systems to enable customers to prepare the required environment for the system. Note that all dimensions shown in these data sheets are typical; precise dimensions depend on the actual equipment fit. All dimensions are given in millimetres unless otherwise stated. Oxford Instruments Plasma Technology conducts a programme of continual product development, and reserves the right to change the design and/or specification of equipment without notice. The details contained in this document were correct at the time of printing but should be confirmed immediately prior to delivery. Issue 3: October 08 Installation Data Page 4 of 22 Printed: 7-Aug-09, 8:03 Installation Data Oxford Instruments Plasma Technology 2. Installation information 2.1 Dimensions OpAL Dimensions of the system are given in Fig 1, Fig 2, Fig 3, Fig 4 and Fig 6. Gas handling component dimensions are given in Fig 11. Pump dimensions are given in Section 7. 2.2 Weights Typical weights of system components: Console: 250 kg 8-line Gas pod: 50 kg 12-line Gas pod: 70 kg Pump: 2.3 See Section 7 (Pump set information). Heat load The typical heat load for the clean room installation is: Operating: 5 kW (includes chamber, electrode, precursors) Passive: 3 kW Note that these specifications do not include externally sited components, e.g. pumps, transformers, etc.. 2.4 Sound level Typical sound levels (measured 500mm above the dry pump): 75dB Printed: 7-Aug-09, 8:03 Installation Data Page 5 of 22 Issue 3: October 08 OpAL 3. Oxford Instruments Plasma Technology Installation Data Installation diagrams Fig 1: OpAL services Issue 3: October 08 Installation Data Page 6 of 22 Printed: 7-Aug-09, 8:03 Installation Data Oxford Instruments Plasma Technology OpAL Fig 2: Front view Printed: 7-Aug-09, 8:03 Installation Data Page 7 of 22 Issue 3: October 08 OpAL Oxford Instruments Plasma Technology Installation Data Fig 3: Plan view Fig 4: Plan view with process chamber open Issue 3: October 08 Installation Data Page 8 of 22 Printed: 7-Aug-09, 8:03 Installation Data Oxford Instruments Plasma Technology OpAL Fig 5: 3-D view with process chamber open Printed: 7-Aug-09, 8:03 Installation Data Page 9 of 22 Issue 3: October 08 OpAL Oxford Instruments Plasma Technology Installation Data For details, refer to Fig 6 For details of electrical connections, refer to Fig 8 Fig 6: Console - rear view with dimensions Issue 3: October 08 Installation Data Page 10 of 22 Printed: 7-Aug-09, 8:03 Installation Data Oxford Instruments Plasma Technology OpAL Fig 7 Foreline Heater connections Fig 7: Console - rear view Printed: 7-Aug-09, 8:03 Installation Data Page 11 of 22 Issue 3: October 08 OpAL Oxford Instruments Plasma Technology Installation Data (These are for internal gas lines located inside the cabinet) Fig 8: Console – precursor cabinet rear view Issue 3: October 08 Installation Data Page 12 of 22 Printed: 7-Aug-09, 8:03 Installation Data 4. Oxford Instruments Plasma Technology OpAL Services connections Fig 9: Services connector locations NOTE: When installing the services, read the Mandatory requirements in the Services Specification document. Connector ALD Machine with External Gas Pod Service Fitting NOTE 1 2 Spare Inert Purge gas IN ¼” VCR 3 4 Spare Argon Bubbler gas IN Either Argon or Nitrogen purge for gas line located inside of system cabinet. See section 6 For gas supply pressure and flow requirements. ¼” VCR 5 6 7 8 N2 vent gas IN Spare Spare Process gas IN Argon for bubbling gas line located inside of system cabinet. See sub-section 6.5 for gas supply pressure and flow requirements 9 10 Electrode Cooling IN Electrode cooling OUT Compressed air OUT Spare Spare Compressed air IN Drip tray Outlet 11 12 13 14 15 ¼” Swagelok ¼” VCR 3/8” Swagelok Only used to link external gas pod to system. For internal gas lines refer to Fig 8, page 12. 3/8” Swagelok 6mm Push-fit 6mm Push-fit ¼” OD pipe Table 1: Services connector identification Printed: 7-Aug-09, 8:03 Installation Data Page 13 of 22 Issue 3: October 08 OpAL Installation Data Oxford Instruments Plasma Technology POWER DISTRIBUTION UNIT (REMOVABLE COVER) SYSTEM POWER CABLE GLAND (CABLE LENGTH = 4 METRES) CABLE GLAND FOR SUPPLY TO SLAVE UNIT SKT 7 SOCKET 10A (Spare) SOCKET 9 1 COOLING FAN SOCKET 10 1 1 SKT 8 EARTH TERMINAL SOCKET 16 2 AMP 2 AMP 3.15 AMP FS1 FS2 FS3 +15V -15V +24V LOW VOLTAGE FUSES HEATER/CHILLER OR SILANE FURNACE AUXILIARY EMERGENCY OFF (EMO) SWITCH CONNECTION 4-WAY BICC PIN A EMO 1 PIN B 0V PIN C +24VDC PIN D EMO 2 (NOTE: EMO 1 & 2 MUST BE LINKED) PUMP PURGE (PINS 1 & 2) VAC STAT FOR LEYBOLD ROOTS (IF FITTED) (PINS C & D) SUPPLY TO ROTARY PUMP 2 SUPPLY TO ROTARY PUMP 1 CURRENT RATING = 10 AMPS CURRENT RATING = 12 AMPS (208V) OR 5 AMPS (415V) (208V) OR 6 AMPS (415V) PHASE 2 PHASE 1 PHASE 2 4 PHASE 3 PHASE 3 3 2 1 SPARE 5 6 PHASE 1 2 1 3 4 SPARE NEUTRAL (NOTE: PUMP OUTLETS HAVE OVERLOAD RELAY IN ADDITION TO CIRCUIT BREAKERS) Fig 10: Electrical connections Issue 3: October 08 Installation Data Page 14 of 22 Printed: 7-Aug-09, 8:03 Installation Data 5. Oxford Instruments Plasma Technology OpAL Gas handling IMPORTANT: OIPT gas pods typically weigh > 50 kg. If you intend fixing your gas pod to a wall, ensure the wall and gas pod fixings are sturdy enough to bear at least four times the weight of the gas pod. Periodically, e.g. annually, check the gas pod and its fixings are secure. 100 mm DIAMETER EXTRACTION COLLAR 220 100 45 41 SECONDARY GAS OUTLET LINE TO SYSTEM (ONLY USED IF A SPLIT MANIFOLD IS FITTED) ¼" GAS 8 160 988.7 918.4 GAS 7 848.1 GAS 6 777.8 GAS 5 707.5 GAS 4 GAS INLET LINES (¼"STAINLESS STEEL TUBE FOR WELDING TO THE CUSTOMER'S SUPPLY) 637.2 GAS 3 1030 566.9 GAS 2 496.6 GAS 1 FIXING HOLE (6.5 mm DIA.) LOCATED 20 mm FROM EDGES OF BACKPLATE (ONE AT EACH CORNER) 426.3 356 HEATER CONTROLLERS (IF FITTED) EARTH STUD 25-WAY ‘D’ CONNECTOR FOR PLC/PCB CONTROL SIGNALS PRIMARY GAS OUTLET LINE TO SYSTEM 650 COMPRESSED AIR IN (LEFT-HAND CONNECTOR) & OUT (4mm push fit) GAS POD COVER INTERLOCK MICROSWITCH 0 Fig 11: 8-Line gas pod (Gases 7 and 8 are on split manifolds, an additional 6 gases may be fitted including a clean gas) Printed: 7-Aug-09, 8:03 Installation Data Page 15 of 22 Issue 3: October 08 OpAL 6. Oxford Instruments Plasma Technology Installation Data Services The required services are listed in the following sub-sections. For full details of services specifications including connection diagrams, electrical connection schematic etc., read in conjunction with the Oxford Instruments Plasma Technology ‘Services Specifications for Plasmalab and Ionfab Systems’ document. 6.1 Electrical Supply requirement Function System electrical supply (208V system) Connection Cable (4 metres long) System electrical supply (415V system) Cable (4 metres long) 6.2 Water Cooling requirements 6.2.1 Lower Electrode Function Liquid cooled seals for lower electrode 6.3 Connection 3/8” stainless steel Swagelok Parameter Voltage Current Frequency Phases Voltage Current Frequency Phases Parameter Flow Temperature Specification 208Vac ±10% 32 A 50 / 60 Hz 3 phase, N + E 380Vac –10% to 415Vac +6% 25 A 50 / 60 Hz 3 phase, N + E Specification Min. 2 lpm (0.53 gpm (US)) As required by process Compressed Dry Air (CDA) requirement Function System CDA Connection 6mm push-fit Parameter Flow Gaspod CDA 6mm push-fit Pressure Flow Pressure Issue 3: October 08 Installation Data Page 16 of 22 Specification 5 lpm (0.2 cfm) (combined with gas pod) 6.0 – 7.0 Bar 5 lpm (0.2 cfm) (combined with system) 4.0 – 6.0 Bar Printed: 7-Aug-09, 8:03 Installation Data 6.4 Oxford Instruments Plasma Technology Nitrogen requirement Function System N2 Connection ¼” stainless steel Swagelok Parameter Flow Pressure Rotary pump purge ¼” stainless steel Swagelok typically Flow Pressure Glove box purge 6.5 ¼” stainless steel Swagelok (fed from System N2) Flow (set at rotameter) Pressure Specification 10 lpm (0.4 cfm) 3.0 Bar (45 psi) minimum Refer to subsection 6.1 Rotary pump purging Refer to subsection 6.1 Rotary pump purging 1 lpm (0.04 cfm) 3.0 Bar (45 psi) minimum Process gas requirement Function Process gas in (includes purge and bubbler gases) 6.6 OpAL Connection ¼” stainless steel welded pipe at gaspod ¼” stainless steel VCR at system Parameter Pressure Specification 2.0 – 3.0 Bar (30 – 45 psi) Parameter Flow Specification 8-line gas pod – 3 m3/hour (1.8 cfm) Extraction requirement Function Gaspod Connection 100mm (4”) tube Rotary pump exhaust Refer to Section 6 Pump set information Printed: 7-Aug-09, 8:03 Installation Data Page 17 of 22 Issue 3: October 08 OpAL 7. Installation Data Oxford Instruments Plasma Technology Pump set information CAUTION Where the rotary vane pump is powered from a mains supply separate from the OpAL system, a separate 'emergency off' facility must be provided by the customer. Minimum N2 Power consumption purge rate kW Alcatel 2063C2 819 264 397 DN 40 98 2.2 (50Hz) 2 litres/minute 2.6 (60Hz) * All fittings and pipework connected to the rotary pump exhaust must be made from industry standard stainless steel. Refer to the OIPT Services Specifications document, sub-section 8.1. ** This figure applies only to the rotary vane pump; the roots blower does not require N2 purging. For ALD precursors such as TMA, mineral oil A155 is to be used with the pump Available pump options 7.1 Length mm Width mm Height mm Machine connection *Pump outlet connection DN 40 Weight kg Rotary pump purging The requirements for rotary pump purging depend on the process used. Customers should consult the pump manufacturer for their recommendations. For Adixen 2063 rotary pumps, the recommended minimum N2 purge rate is 2 litres/minute at a pressure of 2bar to 5bar. For highly corrosive or pyrophoric gases, 4 litres/minute is recommended. Issue 3: October 08 Installation Data Page 18 of 22 Printed: 7-Aug-09, 8:03 Installation Data Oxford Instruments Plasma Technology 8. Interlocks 8.1 General description OpAL The interlocks form a continuous 24Vdc chain, which must be complete before the process gases are enabled. An output to disable external devices unless the lid/ hoist is closed is also provided; this is typically used to disable a lid-mounted endpoint detector laser. ALD process gases will only flow if all heaters are within tolerance. If any one heater is not in tolerance, the process will not start. If the precursor cabinet door is opened, compressed air to the ALD valves is turned off and process gases are turned off. Supply to the ALD valves is only available when the system is at base pressure. The interlock chain is monitored by the software, but acts independently. It is also supplemented by machine protection sensors, which operate only via the software. To enable the interlocks: The 600 mbar vacuum switch (‘Vacstat’) must be at low pressure The process chamber lid must be shut (or its hoist down) The primary process pump must be running The primary process pressure gauge (normally a capacitance manometer) must be on scale Customer-supplied external alarm devices must be in their safe state The inert gas purge to the primary process pump must be flowing. To enable process gases including ALD precursors: The gas box lid must be shut Specific gases can be set in the gas box hardware to be mutually exclusive, so that they cannot be turned on together. Machine protections fitted where appropriate: A nitrogen pressure switch, to detect adequate purge pressure to turbomolecular pump bearings. OR: A nitrogen flow meter, to detect purge gas flow to pump bearings. Water flow switch(es). Printed: 7-Aug-09, 8:03 Installation Data Page 19 of 22 Issue 3: October 08 OpAL Installation Data Oxford Instruments Plasma Technology The interlock chain details are given in the following table: Refer to drawing SE00A26865 (PC2003 interface schematic). INTERLOCK Vacuum Switch Hoist /Lid DEVICE Vacuum Switch PCB input BLK17 PCB1 LED 11 NONE Pressure below 600 mBar a) BLK18 12 NONE Lid closed or hoist down. Enables end point laser via JP51 BLK19 13 JP44 6 15 Interlock disabled if an independent/dry pump fitted Capacitance Manometer (e.g. Baratron™) JP16 5 NONE Analogue input below 11.5V. Switches comparator U5. External Voltage Free contact or 24V DC input to PCB JP52 14 External Voltage Free contact JP53 15 Can be bypassed using LK19 20 Customer-supplied device. Volt free contact JP52 pins 1 and 4. OR 24V DC input JP52 pin 4. Customer-supplied device or Air cylinder switch/microswitc h b) Guardmaster Switch N/O Switch Current monitor in Power Box Primary pump running Process pressure gauge on scale Spare interlock 1 Spare interlock 2 Link out Or Comments Or Load lock valve Process pump purge Inter-chamber valve must be shut Gas Flow Switch at Primary Pump Used on 100 and 133 systems JP55 16 LK21A Fit LK21B if fitted If the above is satisfied, then 24V is at BLK20, 21 & 22 pin 1. This enables the Gas Pod. Issue 3: October 08 Installation Data Page 20 of 22 Printed: 7-Aug-09, 8:03 Installation Data 8.2 Oxford Instruments Plasma Technology OpAL Gas box interlocks Refer to drawing: SE81B26657 (PC2003 gas pod loom). To enable process gases, the interlock chain must be complete. The gas box interlock is shown in Fig 12. SYSTEM CONSOLE PCB 94-PC00S26866 BLK 20 24VDC 1 GAS BOX REAR SERVICES PANEL SKT25W PIN4 PL25W PIN4 SERVICES PANEL SKT25W PL24W PIN4 PIN4 PCB 94-PC81S26655 JP6 PIN1 JP6 PIN2 LID SWITCH JP6 PIN3 Via JP3 to CAN unit controlling gases 1 - 4. See NOTE. NOTE: The function of the signal is to supply 24Vdc power to the digital outputs of the CAN unit. The interlock continues through idendical boards (where fitted) which drive gases 5 - 8 and 9 - 12. Fig 12: Gas box interlock chain 8.2.1 Incompatible gases 2nd PCB Gas 3rd PCB Gas 1st PCB Gas 1 5 9 2nd Gas 2 6 10 3rd Gas 3 7 11 4th Gas 4 8 12 Gases are designated as one of three types: 1st Gas Type A LK3A + 4 LK5A + 6 LK7A + 8 LK9A + 10 Type B LK3B LK5B LK7B LK9B Type X LK3A LK5A LK7A LK9A Gas type A: Typically oxidising gases (e.g. oxygen) Gas type B: Typically fuel gases (e.g. hydrogen) Gas type X: Gases normally miscible with most other gas types. If ANY gas Type A is enabled, then ALL gas Type B lines are disabled. The gas box has a facility to prevent incompatible gases from being enabled simultaneously, using soldered links. Printed: 7-Aug-09, 8:03 Installation Data Page 21 of 22 Issue 3: October 08 OpAL 8.3 Installation Data Oxford Instruments Plasma Technology System LED Monitoring Table NAME LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 LED9 LED10 LED11 LED12 LED13 LED14 LED15 LED16 LED17 COLOUR GREEN RED YELLOW GREEN RED RED RED RED RED RED RED RED RED RED RED RED RED MONITORING +24V DC +15V DC -15V DC +5V DC CM COMP OK PUMP CURRENT SWITCH N2 PRESSURE SWITCH WATER ONE WATER TWO WATER THREE VAC STAT RL10 (HOIST CONTROL) HOIST SPARE INTERLOCK 1 SPARE INTERLOCK 2 PUMP PURGE SWITCH RL15 (MASTER/SLAVE) ACTIVE SLAVE Note that when the interlock chain is complete, all LEDs are illuminated. 9. Precursor Information Refer to precursor ordering guide. 10. OIPT locations worldwide UK Oxford Instruments Plasma Technology North End, Yatton, Bristol, BS49 4AP Tel: +44(0)1934 837000 Fax: +44(0)1934 837001 Email: [email protected] Web: www.oxfordinstruments.com/plmchp5.htm USA Oxford Instruments Inc. 300 Baker Avenue, Suite 150 Concord, MA 01742 Phone: +1 978-369-9933 Toll Free: +1 800-447-4717 Fax: +1 978-369-8287 Email: [email protected] Japan Oxford Instruments K.K. 2-11-6 Tomioka Koto-ku, Tokyo 135-0047 Tel: +81-3-5245-3261 Fax: +81-3-5245-4466 Email: [email protected] Web: www.oxford-instruments.jp People’s Republic of China (Beijing) Oxford Instruments China Room 714, Office Tower 3, Henderson Center, No. 18 Jianguomennei Ave, Dongcheng District, Beijing 100005 Tel: +86 106518 8160/1/2 Fax: +86 106518 8155 Email: [email protected] Web: www.oxford-instruments.com.cn Germany Oxford Instruments GmbH Otto-von-Guericke Ring 10, D-65205 Wiesbaden Tel: +49(0)6122 937161 Fax: +49(0)6122 937175 Email: [email protected] Issue 3: October 08 People’s Republic of China (Shanghai) Oxford Instruments China Room 14-F, No.1 Plaza 800 Nanjing East Road Shanghai 200001 Tel: +86 216360 8530 Fax: +86 216360 8535 Email: [email protected] Web: www.oxford-instruments.com.cn Singapore Oxford Instruments Pte. Ltd 371 Beach Road, #02-07 Keypoint Singapore 199597 Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] Taiwan Oxford Instruments Overseas Marketing Ltd. th 1F, No 23 Jing-Shang 19 Street, Hsinchu, Taiwan Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] Installation Data Page 22 of 22 Printed: 7-Aug-09, 8:03 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i ^ééÉåÇáñ=mpm= mêÉÅìêëçê=pçìêÅÉ=mçí=áåëí~ää~íáçåI= éêÉé~ê~íáçå=~åÇ=ÇÉÅçããáëëáçåáåÖ= 1. About this appendix................................................................................................... 2 2. Bubbling ...................................................................................................................... 2 2.1 Pot preparation for bubbling............................................................................................2 2.1.1 Step-by-step procedure ..............................................................................................4 2.1.1.1 Step 1 (Pot preparation for bubbling) ..............................................................4 2.1.1.2 Step 2 (Pot preparation for bubbling) ..............................................................5 2.1.1.3 Step 3 (Pot preparation for bubbling) ..............................................................6 2.1.1.4 Step 4 (Pot preparation for bubbling) ..............................................................7 2.1.1.5 Step 5 (Pot preparation for bubbling) ..............................................................7 2.1.1.6 Step 6 (Pot preparation for bubbling) ..............................................................8 2.1.1.7 Step 7 (Pot preparation for bubbling) ..............................................................9 3. Vapour draw ............................................................................................................. 11 3.1 Pot preparation for vapour draw....................................................................................12 3.1.1 Step-by-step procedure ............................................................................................13 3.1.1.1 Step 1 (Pot preparation for vapour draw) ......................................................13 3.1.1.2 Step 2 (Pot preparation for vapour draw) ......................................................14 3.1.1.3 Step 3 (Pot preparation for vapour draw) ......................................................15 3.1.1.4 Step 4 (Pot preparation for vapour draw) ......................................................16 3.1.1.5 Step 5 (Pot preparation for vapour draw) ......................................................16 3.1.1.6 Step 6 (Pot preparation for vapour draw) ......................................................17 3.1.1.7 Step 7 (Pot preparation for vapour draw) ......................................................18 Fig 2-1: Basic bubbling layout......................................................................................................2 Fig 2-2: Step 1 (Pot preparation for bubbling)...........................................................................4 Fig 2-3: Step 2 (Pot preparation for bubbling)...........................................................................5 Fig 2-4: Step 3 (Pot preparation for bubbling)...........................................................................6 Fig 2-5: Step 4 (Pot preparation for bubbling)...........................................................................7 Fig 2-6: Step 6 (Pot preparation for bubbling)...........................................................................8 Fig 2-7: Step 7 Bubbling - delivery of precursor .........................................................................9 Fig 2-8: Step 7 Bubbling - purging of precursor .......................................................................10 Fig 3-1: Basic vapour draw layout .............................................................................................11 Fig 3-2: Step 1 (Pot preparation for vapour draw)...................................................................13 Fig 3-3: Step 2 (Pot preparation for vapour draw)...................................................................14 Fig 3-4: Step 3 (Pot preparation for vapour draw)...................................................................15 Fig 3-5: Step 4 (Pot preparation for vapour draw)...................................................................16 Fig 3-6: Step 6 (Pot preparation for vapour draw)...................................................................17 Fig 3-7: Vapour draw – precursor delivery................................................................................18 Fig 3-8: Vapour draw – precursor purge ...................................................................................19 Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 1 of 20 Issue 1: September 07 lé^i NK System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^Äçìí=íÜáë=~ééÉåÇáñ= This Appendix gives information about the precursor pot fitted to the lé^i system. OK _ìÄÄäáåÖ= The basic bubbling layout is shown in Fig 2-1. To chamber Purge VCR Extraction port 1 m3/h Dose Ar purge 500 sccm VCR Switching Bypass Single ALD valve jacket heated to 200 °C Ar bubbler 500 sccm E Drip tray Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 2-1: Basic bubbling layout OKN mçí=éêÉé~ê~íáçå=Ñçê=ÄìÄÄäáåÖ= 1) Before connecting a source pot, ensure that the lines have been pumped. 2) Connect the pot in accordance with local health and safety measures and MSDS 3) Connect the inlet and outlet – DO NOT TURN THEM ON YET 4) Pump out atmosphere which is trapped during connection of inlet and outlet valves of the source pot: i) The inlet is pumped out via the Exhaust ALD valve, E, this valve needs to be turned on with Switching valve S1 (S1 is paired with D1 and control is provided by D1) ii) S1 and D1 will come on at the same time and this will clear the trapped atmosphere iii) Close S1 and D1, flow Ar from bubbler mfc to clear any residual air in the bubbling line Issue 1: September 07 Precursor Source Pot Page 2 of 20 Printed: 7-Oct-07, 12:13 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i iv) Open D1 and flow Argon from the purge MFC to clear any residual air in the delivery line v) Pump out inlet and outlet lines – MANUAL INLET AND OUTLET VALVES ARE STILL SHUT 5) Degassing Precursors are filled under inert gas at around 2 bar. This space has to be evacuated. i) Turn on D1 for 1 minute ii) Gradually, open the outlet valve, note pressure rise does not trip the CM gauge. iii) Shut manual valve iv) Repeat steps ii) and iii) above until there is no pressure rise v) Turn off D1 vi) Pot is now degassed 6) Open the inlet manual valve 7) Start processing in bubbling mode. Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 3 of 20 Issue 1: September 07 lé^i System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== OKNKN píÉéJÄóJëíÉé=éêçÅÉÇìêÉ= OKNKNKN píÉé=N=Emçí=éêÉé~ê~íáçå=Ñçê=ÄìÄÄäáåÖF= 1) Ensure that lines are fitted with VCR blanks ; see Fig 2-2. 2) Perform leak check of inlet and outlet. To chamber Purge Ar purge 500 sccm Extraction port 1 m3/h Dose Bypass Single ALD valve jacket heated to 200 °C Switching Ar bubbler 500 sccm E Drip tray Heated TEMAH pot pre fitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 2-2: Step 1 (Pot preparation for bubbling) Issue 1: September 07 Precursor Source Pot Page 4 of 20 Printed: 7-Oct-07, 12:13 System Manual OKNKNKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i píÉé=O=Emçí=éêÉé~ê~íáçå=Ñçê=ÄìÄÄäáåÖF= 1) Remove VCR blanks; see Fig 2-3. 2) Connect outlet and inlet to the source pot. 3) Do not turn on the manual valves yet! To chamber Purge Extraction port 1 m3/h Dose Ar purge 500 sccm Bypass Single ALD valve jacket heated to 200 °C Switching Ar bubbler 500 sccm Drip tray Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 2-3: Step 2 (Pot preparation for bubbling) Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 5 of 20 Issue 1: September 07 lé^i OKNKNKP System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== píÉé=P=Emçí=éêÉé~ê~íáçå=Ñçê=ÄìÄÄäáåÖF= 1) Pump out bubbler line.; see Fig 2-4. 2) Turn on D1 (S1 is paired with D1) and E so that atmosphere trapped during installation is pumped out from the inlet and outlet via E and D valves respectively. 3) Do not turn on the manual valves yet! To chamber Purge Ar purge 500 sccm Extraction port 1 m3/h Dose Bypass Single ALD valve jacket heated to 200 °C Switching Ar bubbler 500 sccm Drip tray E Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 2-4: Step 3 (Pot preparation for bubbling) Issue 1: September 07 Precursor Source Pot Page 6 of 20 Printed: 7-Oct-07, 12:13 System Manual OKNKNKQ lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i píÉé=Q=Emçí=éêÉé~ê~íáçå=Ñçê=ÄìÄÄäáåÖF= 1) Purge bubbler lines.; see Fig 2-5. 2) Turn on argon purge and argon bubbler MFCs and pump out any residuals in the lines.. 3) Do not turn on the manual valves yet! To chamber Purge Extraction port 1 m3/h Dose Ar purge 500 sccm Bypass Single ALD valve jacket heated to 200 °C Switching Ar bubbler 500 sccm Drip tray E Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 2-5: Step 4 (Pot preparation for bubbling) OKNKNKR píÉé=R=Emçí=éêÉé~ê~íáçå=Ñçê=ÄìÄÄäáåÖF= 1) Repeat Steps 3 and 4. 2) Carry out a leak check. 3) Do not turn on the manual valves yet! Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 7 of 20 Issue 1: September 07 lé^i OKNKNKS System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== píÉé=S=Emçí=éêÉé~ê~íáçå=Ñçê=ÄìÄÄäáåÖF= 1) Degas source pot; see Fig 2-6. 2) Turn on D valve for one minute and slowly open the outlet valve to evacuate the inert gas in the pot overhead space. 3) Note the pressure rise in the chamber. 4) Repeat Steps 2) and 3) above until pressure in the chamber is constant. 5) Do not turn on the manual valves yet! To chamber Purge Extraction port 1 m3/h Dose Ar purge 500 sccm Bypass Single ALD valve jacket heated to 200 °C Switching Ar bubbler 500 sccm Drip tray E Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 2-6: Step 6 (Pot preparation for bubbling) Issue 1: September 07 Precursor Source Pot Page 8 of 20 Printed: 7-Oct-07, 12:13 System Manual OKNKNKT lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i píÉé=T=Emçí=éêÉé~ê~íáçå=Ñçê=ÄìÄÄäáåÖF= Precursor pulse 1) Ensure Switching and Dose valves are turned on; see Fig 2-7. Fill To chamber Purge Ar purge 500 sccm Extraction port 1 m3/h Dose Bypass Single ALD valve jacket heated to 200 °C Switching Ar bubbler 500 sccm Drip tray E Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 2-7: Step 7 Bubbling - delivery of precursor Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 9 of 20 Issue 1: September 07 lé^i System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== Precursor purge 1) Ensure Purge valve is turned on; see Fig 2-8 Fill To chamber Purge Extraction port 1 m3/h Dose Ar purge 500 sccm Bypass Single ALD valve jacket heated to 200 °C Switching Ar bubbler 500 sccm Drip tray E Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 2-8: Step 7 Bubbling - purging of precursor Issue 1: September 07 Precursor Source Pot Page 10 of 20 Printed: 7-Oct-07, 12:13 System Manual PK lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i s~éçìê=Çê~ï= The basic vapour draw layout is shown in Fig 3-1. To chamber VCR Ar purge 500 sccm Purge Dose Bypass VCR Single ALD valve jacket heated to 200 °C Extraction port 1 m3/h Unheated TMA or H2O pot pre-fitted with manual valves. Only the outlet is connected Drip tray N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 3-1: Basic vapour draw layout Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 11 of 20 Issue 1: September 07 lé^i PKN System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== mçí=éêÉé~ê~íáçå=Ñçê=î~éçìê=Çê~ï= 1) Before connecting a source pot, ensure that the lines have been pumped. 2) Connect the pot in accordance with local health and safety measures and MSDS 3) Connect the inlet and outlet – DO NOT TURN THEM ON YET 4) Pump out atmosphere which is trapped during connection of inlet and outlet valves of the source pot: (THE INLET VALVE OF THE SOURCE POT IS NOT CONNECTED.) i) The outlet is pumped out via the dose ALD valve D. ii) Close D1, flow Argon from the purge MFC to clear any residual air in the delivery line iii) Repeat pump purge as required. iv) OUTLET VALVE IS STILL SHUT 5) Degassing Precursors are filled under inert gas at around 2 bar. This space has to be evacuated. i) Turn on D1 for 1 minute ii) Gradually, open the outlet valve, note pressure rise does not trip the CM gauge. iii) Shut manual valve iv) Repeat steps ii) and iii) above until there is no pressure rise v) Turn off D1 vi) Pot is now degassed 6) Start processing in vapour draw mode. Issue 1: September 07 Precursor Source Pot Page 12 of 20 Printed: 7-Oct-07, 12:13 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== PKNKN píÉéJÄóJëíÉé=éêçÅÉÇìêÉ= PKNKNKN píÉé=N=Emçí=éêÉé~ê~íáçå=Ñçê=î~éçìê=Çê~ïF= lé^i 1) Ensure that lines are fitted with VCR blanks.; see Fig 3-2. 2) Carry out leak check of inlet and outlet. To chamber Purge Extraction port 1 m3/h Dose Ar purge 500 sccm Bypass E Single ALD valve jacket heated to 200 °C Drip tray Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 3-2: Step 1 (Pot preparation for vapour draw) Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 13 of 20 Issue 1: September 07 lé^i PKNKNKO System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== píÉé=O=Emçí=éêÉé~ê~íáçå=Ñçê=î~éçìê=Çê~ïF= 1) Remove VCR blanks.; see Fig 3-3. 2) Connect outlet only to the source pot. 3) Do not turn on the outlet manual valve yet! To chamber Purge Ar purge 500 sccm Extraction port 1 m3/h Dose Bypass Drip tray Single ALD valve jacket heated to 200 °C Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 3-3: Step 2 (Pot preparation for vapour draw) Issue 1: September 07 Precursor Source Pot Page 14 of 20 Printed: 7-Oct-07, 12:13 System Manual PKNKNKP lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i píÉé=P=Emçí=éêÉé~ê~íáçå=Ñçê=î~éçìê=Çê~ïF= 1) Pump out bubbler line; see Fig 3-3. 2) Turn on D1 (S1 is paired with D1), so that atmosphere trapped during installation is pumped out from the outlet. 3) Do not turn on the outlet manual valve yet! To chamber Purge Extraction port 1 m3/h Dose Ar purge 500 sccm Bypass Drip tray Single ALD valve jacket heated to 200 °C Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 3-4: Step 3 (Pot preparation for vapour draw) Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 15 of 20 Issue 1: September 07 lé^i PKNKNKQ System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== píÉé=Q=Emçí=éêÉé~ê~íáçå=Ñçê=î~éçìê=Çê~ïF= 1) Purge line by flowing argon using the argon purge MFC; see Fig 3-5. 2) Open the manual bypass valve. 4) Do not turn on the outlet manual valve yet! To chamber Purge Extraction port 1 m3/h Dose Ar purge 500 sccm Bypass Drip tray Single ALD valve jacket heated to 200 °C Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 3-5: Step 4 (Pot preparation for vapour draw) PKNKNKR píÉé=R=Emçí=éêÉé~ê~íáçå=Ñçê=î~éçìê=Çê~ïF= 1) Repeat Step 3 and Step 4. 2) Carry out a leak check. 5) Do not turn on the outlet manual valve yet! Issue 1: September 07 Precursor Source Pot Page 16 of 20 Printed: 7-Oct-07, 12:13 System Manual PKNKNKS lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i píÉé=S=Emçí=éêÉé~ê~íáçå=Ñçê=î~éçìê=Çê~ïF= 1) Degas source pot; see Fig 3-6. 2) Turn on D valve for one minute and slowly open the outlet valve to evacuate the inert gas in the pot overhead space. 3) Note the pressure rise in the chamber. 4) Repeat until pressure in the chamber is constant. To chamber Purge Ar purge 500 sccm Extraction port 1 m3/h Dose Bypass Drip tray Single ALD valve jacket heated to 200 °C Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 3-6: Step 6 (Pot preparation for vapour draw) Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 17 of 20 Issue 1: September 07 lé^i PKNKNKT System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== píÉé=T=Emçí=éêÉé~ê~íáçå=Ñçê=î~éçìê=Çê~ïF= Precursor pulse Fill To chamber Purge Ar purge 500 sccm Extraction port 1 m3/h Dose Bypass Drip tray Single ALD valve jacket heated to 200 °C Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 3-7: Vapour draw – precursor delivery Issue 1: September 07 Precursor Source Pot Page 18 of 20 Printed: 7-Oct-07, 12:13 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== lé^i Precursor purge Fill To chamber Purge Ar purge 500 sccm Extraction port 1 m3/h Dose Bypass Drip tray Single ALD valve jacket heated to 200 °C Heated TEMAH pot prefitted with manual valves. N2 purge Precursor cabinet Manual valve Air driven nupro valve –manual control Fast high temperature ALD valve Fig 3-8: Vapour draw – precursor purge Printed: 7-Oct-07, 12:13 Precursor Source Pot Page 19 of 20 Issue 1: September 07 lé^i lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual NOTES: Issue 1: September 07 Precursor Source Pot Page 20 of 20 Printed: 7-Oct-07, 12:13 System Manual Appendix R Oxford Instruments Plasma Technology All OIPT Systems Rotameter data 1 Rotameters ................................................................................................................................ 2 1.1 Rotameter types..................................................................................................................... 2 1.2 Setting the required purge flow rate....................................................................................... 3 1.3 Flow versus scale reading graphs ........................................................................................... 4 2 Appendix R Rotameter data – Issue Change Record Sheet ........................................................... 5 Fig 1: Typical rotameter .................................................................................................................... 2 Printed: 5-Jun-09, 9:58 Rotameter Data Page R 1 of 6 Issue 2: October 04 All OIPT Systems Oxford Instruments Plasma Technology System Manual 1 Rotameters A rotameter is a manually controlled variable valve/indicating tube, used by OIPT to manually set the flow rate 1 for various gas supplies. For example, purge flow rates for turbomolecular 2 , rotary vane and dry pumps, glove boxes and etch gas supplies for process chamber cleaning. Ball float Tube type number is marked on this side of the tube Graduated scale Flow control knob Fig 1: Typical rotameter 1.1 Rotameter types The models of rotameter used for setting purge gas flows on OIPT equipment is fitted with a common scale, reading from 0 to 6 as flow is varied from zero to full scale. The full-scale flow depends on: The tube type (marked on the tube itself) The ball material The gas type Temperature Pressure The full-scale flows given in the following table are for 20° C, 1 atmosphere pressure, air or nitrogen gas. OIPT part G/GAS/ROT/05 0 G/GAS/ROT/25L G/GAS/ROT/40L G/GAS/ROT/80 0 G/GAS/ROT/90 0 1 Tube number A125-3 Ball material Glass Normal use Turbo pump purge Full scale flow 53 sccm A250-4 A250-6 A125-7 Carboloy Stainless steel Stainless steel Rotary pump purge, PECVD Pump exhaust purge CF4/O2 ‘clean gas’ metering 27.5 slpm 43.4 slpm 850 sccm A250-1 Stainless steel Rotary pump purge, etch, PECVD 4.4 slpm Flow rates are quoted in either standard cubic centimetres per minute (sccm) or standard litres per minute (slpm). 2 In most OIPT systems, turbomolecular pump purge flows are set automatically and monitored by the system software via a flow meter: these systems do not incorporate a rotameter for the turbo pump purge. Issue 2: October 04 Rotameter Data Page R 2 of 6 Printed: 5-Jun-09, 9:58 System Manual Oxford Instruments Plasma Technology All OIPT Systems The flow-setting knob can be on the upstream or downstream side of the tube. - If it is upstream (below the tube), the pressure in the tube is close to the exit pressure, which should be close to 1 bar absolute for pump or exhaust pipe purging. - If the knob is downstream (above the tube) the pressure in the tube is close to the supply pressure of the gas. This method is normally used if the exit pressure is below atmospheric pressure, which is often the case in turbomolecular pump purging. If in doubt, control downstream is always safe, because the ball float will operate normally and the purge flow will be slightly higher than given in the table. 1.2 Setting the required purge flow rate CAUTION The rotameter scale is graduated with values of 0 to 6. These graduations do not represent flow rates in sccm or slpm. To set the required flow rate, use the following procedure. Note that the following procedure is for setting N2 purge flow rates for pumps; a similar procedure can be used for other applications, e.g. etch cleaning, glove box purging, etc. To set the required flow rate, use the following procedure: 1) Refer to the pump manufacturer’s literature or to the relevant OIPT Installation Data document to obtain the required N2 flow rate. 2) Check the Tube number (marked on the Rotameter tube) and then refer to the relevant rotameter’s graph on page 4 and note the required scale reading. 3) Ensure that the N2 supply meets the Mandatory Requirements for Nitrogen Supplies as stated in the OIPT Services Specifications document sub-section 5.1. 4) Adjust the rotameter flow-setting knob so that the centre of the ball float is aligned with the required scale reading. (Rotate knob anti-clockwise to increase flow, clockwise to reduce flow.) Printed: 5-Jun-09, 9:58 Rotameter Data Page R 3 of 6 Issue 2: October 04 All OIPT Systems 1.3 System Manual Oxford Instruments Plasma Technology Flow versus scale reading graphs G/GAS/ROT/050 (Tube No: A125-3) G/GAS/ROT/25L (Tube No: A250-4) A125-3 60 25 50 40 20 Flow (sccm) 30 Flow (slpm) 15 20 10 10 5 0 0 0 1 2 3 4 Scale Reading 5 0 6 G/GAS/ROT/40L (Tube No: A250-6) 1 2 3 4 Scale Reading 5 6 G/GAS/ROT/900 (Tube No: A250-1) A250-6 50 A250-1 5 4 40 Flow (slpm) A250-4 30 30 Flow (slpm) 3 20 2 10 1 0 0 0 1 2 3 4 Scale Reading 5 0 6 1 2 3 4 Scale Reading 5 6 G/GAS/ROT/800 (Tube No: A125-7) A125-7 900 800 700 600 Flow (sccm) 500 400 300 200 100 0 0 Issue 2: October 04 1 2 3 4 Scale Reading Rotameter Data Page R 4 of 6 5 6 Printed: 5-Jun-09, 9:58 System Manual Oxford Instruments Plasma Technology All OIPT Systems 2 Appendix R Rotameter data – Issue Change Record Sheet Issue change record Issue No. 2 Details of change Issue Change Record Sheet added. Date 19 Oct 04 Rotameter Data Page R 5 of 6 Issue 2: October 04 Printed: 5-Jun-09, 9:58 All OIPT Systems Oxford Instruments Plasma Technology System Manual NOTES: Issue 2: October 04 Rotameter Data Page R 6 of 6 Printed: 5-Jun-09, 9:58 Services Specifications Appendix S Issue 21: January 10 Qualitative Specifications for all of Oxford Instruments Plasma Technology’s Plasma and Ion Beam Systems Page 1 of 22 OIPT Systems Oxford Instruments Plasma Technology System Manual Change record sheet Issue No. 16 17 Details of change Change record table added For British Standards compliance: Date 20 April 05 24 May 06 New sub-section 9.1 ‘Statement of intended use’ added. 18 19 20 21 Requirement for an Earth Continuity Test added to subsection 3.1.1. Fig 2.2 (Recommended basic total loss installation) updated. Sub-section 9.2 (Mandatory specifications for the system environment) – Maximum Storage temperature changed to 40°C. Update heater/chiller types with Julabo information and remove references to “Hexid” Issue 21: January 10 Services Specifications Page 2 of 22 05 April 07 23 March 09 6 January 2010 Printed: 6-Jan-10, 8:53 System Manual Oxford Instruments Plasma Technology OIPT Systems CONTENTS Change record sheet ..................................................................................................... 2 1. Introduction ........................................................................................................... 4 2. Cooling / Warming water ........................................................................................ 5 2.1 Recirculation water ............................................................................................ 6 2.1.1 Mandatory Specifications for recirculated water systems.............................. 7 2.2 Total Loss Cooling ............................................................................................. 8 2.2.1 Mandatory Specifications for total loss cooling systems............................... 9 3. Electrical supply ................................................................................................... 10 3.1 System earthing and bonding........................................................................... 10 3.1.1 Earth connection ....................................................................................... 10 3.1.2 Neutral supply bonding ............................................................................. 11 3.1.3 Residual Current Circuit Breakers .............................................................. 11 3.2 Mandatory Specifications for electrical installations.......................................... 11 4. Compressed air .................................................................................................... 11 4.1 Mandatory Specifications for compressed air supplies ...................................... 13 5. Nitrogen............................................................................................................... 14 5.1 Mandatory specifications for Nitrogen supplies ................................................ 14 6. Process gases ....................................................................................................... 15 6.1 Mandatory specifications for process gas supplies ........................................... 15 6.1.1 Systems using Helium wafer cooling.......................................................... 15 6.1.2 Systems using Helium purge ..................................................................... 16 6.1.3 Installation of low vapour pressure gases (e.g. SiCl4, BCl3, C4F8)................. 16 7. Liquid Nitrogen .................................................................................................... 17 7.1 Mandatory Requirements for Liquid Nitrogen systems...................................... 17 8. Extraction............................................................................................................. 18 8.1 Mandatory requirements for Rotary Pump extraction........................................ 18 8.2 Mandatory requirements for Gas Pod extraction............................................... 18 8.3 Mandatory requirements for Cryogenic pump extraction .................................. 19 9. Environment ......................................................................................................... 20 9.1 Statement of intended use ............................................................................... 20 9.2 Mandatory Specifications for the system environment ...................................... 20 10. OIPT locations worldwide...................................................................................... 22 Fig 2.1: Recommended basic recirculation installation ................................................ 7 Fig 2.2: Recommended basic total loss installation ..................................................... 8 Fig 3.1: Recommended electrical installation............................................................. 10 Fig 4.1: Recommended compressed air supply installation........................................ 12 Fig 5.1: Recommended nitrogen supply installation .................................................. 14 Fig 6.1: Recommended process gas supply installation ............................................. 15 Printed: 6-Jan-10, 8:53 Services Specifications Page 3 of 22 Issue 21: January 10 OIPT Systems 1. Oxford Instruments Plasma Technology System Manual Introduction This document gives the specifications of the services required for the Plasmalab and Ionfab systems. For details of cooling flow rates and electrical supply ratings, see the relevant system installation data sheets. Customers must ensure that the services as specified are available at the time of delivery to reduce system commissioning time and potential problems. Unless other arrangements have been made in writing with Oxford Instruments Plasma Technology (OIPT), it is a requirement that services meet the following specifications. If they do not meet these specifications then the system warranty and process guarantees may be made invalid. If you suspect that you may fail to meet ANY of these specifications, please contact Oxford Instruments Plasma Technology (OIPT) immediately so that we can discuss the problem with you. Oxford Instruments Plasma Technology (OIPT) conducts a programme of continual product development, and reserves the right to change the design and/or specification of equipment without notice. The details contained in this document were correct at the time of printing but should be confirmed immediately prior to delivery. WARNING BEFORE INSTALLING THE SERVICES REQUIRED FOR OIPT SYSTEMS, ENSURE THAT ALL RELEVANT ASPECTS OF HEALTH AND SAFETY ARE FULLY UNDERSTOOD. HEALTH AND SAFETY GUIDANCE AND INSTRUCTIONS ARE GIVEN IN SECTION 1 OF ALL OUR SYSTEM USER MANUALS. THIS DOCUMENT IS AVAILABLE IN ENGLISH, FRENCH, GERMAN AND JAPANESE. Issue 21: January 10 Services Specifications Page 4 of 22 Printed: 6-Jan-10, 8:53 System Manual 2. Oxford Instruments Plasma Technology OIPT Systems Cooling / Warming water There are two acceptable methods of applying cooling / warming water to an OIPT system: Recirculation: Water is pumped through the system by a dedicated *heater / chiller or heat exchanger. After passing through the system, the temperature of the water is adjusted before recirculating through the system. *Many customers have a water recirculation facility shared by several systems. This shared facility seldom provides water of suitable quality, and so cannot usually be used for cooling any part of the OIPT system without the use of a heat exchanger dedicated to the OIPT system. Total Loss Cooling: Municipal (drinking quality) water is applied to the system from a mains supply, passed through the system to cool it, then fed to a drain for disposal. Water failing to meet the recirculation or total loss cooling specifications must not be put into the system without close consultation with OIPT. In some circumstances, it may be appropriate to use a combination of recirculation cooling and total loss cooling in one system. It is recommended that the customer uses a dedicated heater/chiller either for the whole system, or at least for the critical components. Note that chillers, which cool only, can give problems with condensation on chamber components in some environments. This is a particularly important consideration for production systems of the batch-load type. In severe cases, they can produce sufficient condensation to damage components such as RF power supplies, ferrofluidic seals and automatch units. Any damage so caused cannot be covered by the system warranty. Note that if a heater-chiller is used to provide warm water (above 30o C) for heated parts of the system, then items such as the turbo pump will need an independent water supply. Items such as turbomolecular pumps can be cooled with total loss cooling if they are of stainless steel construction and if the water is suitable. If the water is not suitable, then a heat exchanger may be necessary. Total loss cooling cannot be used on any components that have aluminium in direct contact with the coolant. See sub-section 2.1. Printed: 6-Jan-10, 8:53 Services Specifications Page 5 of 22 Issue 21: January 10 OIPT Systems 2.1 Oxford Instruments Plasma Technology System Manual Recirculation water WARNING CHILLERS HAVE COMPONENTS, WHICH BECOME COLD ENOUGH TO CAUSE SERIOUS INJURY. READ THE MANUFACTURER’S MANUALS BEFORE INSTALLING, OPERATING OR MAINTAINING CHILLERS, AND ENSURE THAT ADEQUATE PROTECTIVE CLOTHING IS WORN. Recirculation water is used in systems where the user does not wish to consume water at a high rate, or where it is wished to supply water to the system at a constant temperature. Some systems contain aluminium in direct contact with coolant. These are the Ionfab 500 Plus, the Ionfab 300 Plus, and Plasmalab systems fitted with tables or turbomolecular pumps with plain aluminium cooling channels. Certain aluminium chambers are also included. However, the Ionfab 300 Plus does not contain aluminium in contact with water, unless it is in the turbomolecular pump. In these aluminium-containing systems, it is MANDATORY that the approved coolant is used at all times. If the approved coolant and installation are not used, the system warranty will not cover any damage caused by the coolant either directly or indirectly to the system or to anything else. It is the customer's responsibility to comply with the above requirement. If the customer has any doubt as to whether their system falls into this category, they must contact OIPT for further advice. In systems that do not contain aluminium components, severe corrosion can still sometimes occur: water will collect corrosive chemicals, and will be lacking in the oxygen that protects stainless steel. We strongly recommend that the approved coolant is used. If an alternative product or water is used, then it is entirely the customer’s responsibility to check for any signs of corrosion or contaminated water. If the customer’s coolant has not been approved in writing by OIPT, then the system warranty will not cover any damaged caused by the coolant either directly or indirectly to the system or to anything else 1 . The approved installation and coolant are shown in sub-section 2.1.1 and in Fig 2.1. Note that the total water flow meter and the isolation valves are recommended for cooling monitoring and ease of maintenance. 1 Customers should note, for example, that certain types of inhibitor will damage the pumps of heater/chiller units, and that the use of municipal (drinking water) or deionized water can introduce harmful chemicals into the system. If plain water is used, distilled water is by far the safest option. Issue 21: January 10 Services Specifications Page 6 of 22 Printed: 6-Jan-10, 8:53 System Manual Oxford Instruments Plasma Technology OIPT Systems DEDICATED HEAT EXCHANGER OR CHILLER (FITTED WITH AN EXTERNAL BYPASS HAVING A CAPACITY OF 100% FLOW) ISOLATION VALVES PLASMALAB OR IONFAB SYSTEM TOTAL WATER FLOW METER OF APPROPRIATE RANGE 10-MICRON METAL MESH FILTER MAXIMUM PRESSURE DROP AT RATED FLOW RATE 0.15 BAR (2.2 PSI) Fig 2.1: Recommended basic recirculation installation 2.1.1 Mandatory Specifications for recirculated water systems CAUTION If clear (i.e. transparent) tubing is exposed to sunlight, algal growth can develop, which can restrict coolant flow. It is MANDATORY that clear tubing is not used in any part of the cooling system. OIPT recommends the use of either black or dark green tubing. CAUTION It is the customer's responsibility not to exceed a pressure of 4.2 bar, or other limit that has been set for the system. Exceeding this safe pressure may cause irreparable damage to system components. The water must be kept warm enough to prevent condensation on chamber surfaces and outside system components. This applies to those parts of the system inside the clean room and those parts in a service area. Condensation can damage components such as RF power supplies, ferrofluidic seals and automatch units. Any damage so caused cannot be covered by the system warranty. Pressure: Temperature range: Minimum flows: Cooling capacity: Coolant: Filtration: Printed: 6-Jan-10, 8:53 Adjustable 0.7 to 4.2 bar (10 to 60 psi). Chiller / heat exchanger to be fitted with a bypass having a capacity of 100% of rated flow. See system installation data sheets. See system installation data sheets. See system installation data sheets. Use either Thermal G (94-G-WTR-SUN-909) or Thermal H5 (84-GWTR-SUN-903) depending on the application. See sub-section 2.1 for the warranty impact of not using these products. 10 micron metal mesh water filter. Maximum pressure drop 0.15 bar (2.2 psi) at rated flow. For example, filter element Balston SMC100-12-10. Services Specifications Page 7 of 22 Issue 21: January 10 OIPT Systems 2.2 System Manual Oxford Instruments Plasma Technology Total Loss Cooling Municipal (drinking) water may be used in total loss cooling of the system in situations where clean water is freely available, but only if the water meets the specification in subsection 2.2.1. In case of any doubt, obtain the water specification from the water utility company, and consult with OIPT. It is not practical to use total loss cooling where the water temperature is critical. FILTER 10 MICRON FULL FLOW ISOLATION VALVES PLASMALAB OR IONFAB SYSTEM TOTAL WATER FLOW METER OF APPROPRIATE RANGE DRAIN Fig 2.2: Recommended basic total loss installation Issue 21: January 10 Services Specifications Page 8 of 22 Printed: 6-Jan-10, 8:53 System Manual 2.2.1 Oxford Instruments Plasma Technology OIPT Systems Mandatory Specifications for total loss cooling systems CAUTION If clear (i.e. transparent) tubing is exposed to sunlight, algal growth can develop, which can restrict coolant flow. It is MANDATORY that clear tubing is not used in any part of the cooling system. OIPT recommends the use of either black or dark green tubing. CAUTION It is the customer's responsibility not to exceed a pressure of 5 bar, or other limit that has been set for the system. Exceeding this safe pressure may cause irreparable damage to system components. If total loss cooling with municipal water (drinking quality water) is used in the system or in the pumps, the water quality must meet the following specifications. Note that increased maintenance will be required if this water is used directly in the system as well as in the pumps. The water must be kept warm enough to prevent condensation on chamber surfaces and outside system components. This applies to those parts of the system inside the clean room and those parts in a service area. Condensation can damage components such as RF power supplies, ferrofluidic seals and automatch units. Any damage so caused cannot be covered by the system warranty. • • • • • Pressure: Temperature: pH: Oxygen: CO2 and NH3: • Chloride: • Calcium Carbonate: • Filtration: Printed: 6-Jan-10, 8:53 4 to 5 bar. Backpressure from the drain must be less than 1 bar. 10ºC to 25ºC 7 to 8 Greater than 4mg/litre Less than 10mg/litre Less than 100mg/litre Less than 75mg/litre To 0.9 micron full flow, for example filter element Balston 20050-50 or 200-95-50. Services Specifications Page 9 of 22 Issue 21: January 10 OIPT Systems 3. Oxford Instruments Plasma Technology System Manual Electrical supply Classification: For European Community customers who need this information: The systems are classified as Class A, Group 2 as defined in EN 55011 Clause 4. SAFETY ISOLATION BOX Combined Circuit Breaker to protect transformer to system phase conductors 3-phase supply or transformer secondary winding Phase 1 conductor Phase 2 conductor 3-Phase supply to system Phase 3 conductor Neutral conductor NEUTRAL CONDUCTOR BONDED TO EARTH (GROUND) Hand Operated PLASMALAB OR IONFAB SYSTEM INDEPENDENT EARTH (GROUND) Fig 3.1: Recommended electrical installation NOTES: a) The combined Circuit Breaker MUST break all phases if any one phase exceeds the set current limit. b) The trip rating of the Circuit Breaker depends on the system type and the supply voltage to the system. c) The current-carrying capacity of the cables between the Circuit Breakers and the system must be greater than the trip rating of the Circuit Breaker. For example, if a 32A Circuit Breaker is fitted then a cable having conductors of a minimum rating of 40A (32A x 125%) is required. The conductors will have a minimum Cross Sectional Area (CSA) of 6.0 mm2. 3.1 System earthing and bonding 3.1.1 Earth connection To meet international standards for RF interference, our systems are fitted with filtration on the mains supply inputs. As a result, there is significant leakage to earth (ground) from the mains supply. WARNING IT IS ESSENTIAL THAT AN EARTH CONNECTION IS MADE BEFORE CONNECTING THE SUPPLY. An Earth Continuity Test is required for all PERMANENTLY CONNECTED EQUIPMENT, using a ‘CLARE’ tester or similar equipment. Issue 21: January 10 Services Specifications Page 10 of 22 Printed: 6-Jan-10, 8:53 System Manual Oxford Instruments Plasma Technology OIPT Systems International standard IEC950, section 5.2, requires that a label is attached at the point where the system is connected to the factory electricity supply: either to the safety isolation box, or to the transformer, or to the electrical supply outlet socket. This label must contain the following text: “WARNING. High leakage current. Earth connection essential before connecting supply." 3.1.2 Neutral supply bonding WARNING THE NEUTRAL CONDUCTOR MUST BE BONDED TO THE EARTH (GROUND) CONDUCTOR. IF THIS IS NOT DONE ALREADY IN THE FACTORY SUPPLY, THEN IT MUST BE DONE AT THE SAFETY ISOLATION BOX AS SHOWN IN Fig 3.1. IF AN ISOLATING TRANSFORMER IS FITTED, THEN THE NEUTRAL CONDUCTOR OF THE TRANSFORMER SECONDARY MUST BE BONDED TO THE EARTH (GROUND) CONDUCTOR. 3.1.3 Residual Current Circuit Breakers Customers sometimes wish to fit a Residual Current Circuit Breaker (RCCB), also known as an Earth Leakage Circuit Breaker (ELCB, or ELB) to the electrical supply to the system. This is not recommended for this type of equipment, and a 30mA breaker is likely to trip often, due to the leakage caused by the filters on the power lines. This leakage is in accordance with International standard IEC950 section 5.2. 3.2 4. a) If you must fit an ELB, we strongly recommend a minimum current of 100mA. b) Even a 100mA circuit breaker may trip, and we accept no responsibility if this turns out to be the case. Mandatory Specifications for electrical installations • Connection: In accordance with local regulations via a safety isolation box, lockable in the OFF position, mounted adjacent to the machine. • Configuration: 3-phase, star ("Y") with a grounded neutral supply connected to the centre point. An independent earth (ground) is required. • Maximum Current: The Maximum Current required by the system is given in the relevant system installation data sheets. • Voltage & Frequency: 380V -10% to 415V +6% or 208V +/-10% phase-to-phase. Frequency to be 50Hz or 60Hz. Note that voltage and frequency cannot be changed from the values specified at the time of ordering. • Safety Earthing: The system safety earthing must be in accordance with local Electrical Regulations. See Fig 3.1. Compressed air A dry and clean compressed air supply at a minimum pressure of 6 bar (90 psig) must be fed to a customer-supplied air filter/mist separator/pressure regulator unit mounted Printed: 6-Jan-10, 8:53 Services Specifications Page 11 of 22 Issue 21: January 10 OIPT Systems System Manual Oxford Instruments Plasma Technology adjacent to the machine. A suitable unit is supplied by SMC (part No. AC 2030); other units to the same specification can be used. Maximum safe pressure in the customer's feed to the regulator is determined by the regulator used (9.9 bar (148.5 psig) for the SMC unit). The supply to the system must be monitored by a pressure gauge having a range of 0 to 10 bar (0 to 150 psi). The pressure regulator must be fitted with a stop to prevent a pressure greater than 6 bar from being supplied to the system. The pressure to be used will be set during commissioning of the system. The following air filter/mist separator/pressure regulator unit components are recommended: Air filter: SMC AF2000-02D with filter element 1129116A. Mist separator: SMC AFD2000-02D with filter element 63092. This filter element must be changed annually. Note that as this item is not supplied by OIPT, its maintenance is not included in the system manuals. Regulator with gauge: SMC AR2001-02G. Spacers: 2 off SMC Y20L. SMC COMPONENTS OR EQUIVALENT DRY AND CLEAN AIR SUPPLY FILTER MINIMUM PRESSURE 6 BAR (90 PSIG) MIST SEPARATOR PRESSURE GAUGE 0 TO 10 BAR (0 TO 150 PSIG) COMPRESSED AIR SUPPLY TO PLASMALAB OR IONFAB SYSTEM (SEE SPECIFICATION) ADJUSTABLE PRESSURE REGULATOR 6 MM PUSH-FIT CONNECTOR Fig 4.1: Recommended compressed air supply installation Issue 21: January 10 Services Specifications Page 12 of 22 Printed: 6-Jan-10, 8:53 System Manual 4.1 Oxford Instruments Plasma Technology OIPT Systems Mandatory Specifications for compressed air supplies • Inlet pressure to filter/mist separator/regulator unit: 6 bar (90 psig) minimum. OUTLET TO SYSTEM: • Oil content: Less than 10 ppm • Maximum Moisture Content: -3ºC (25ºF) • Filtration: Maximum particle size of 0.3 microns • Regulator outlet pressure: Adjustable from 3 bar to 6 bar (45 psig to 90 psig). 6 bar must be the maximum provided. • Maximum flow rate: 135 litres/minute (5 scfm). • Pressure monitoring: 0 to 10 bar (0 to 150 psi) pressure gauge. Printed: 6-Jan-10, 8:53 Services Specifications Page 13 of 22 Issue 21: January 10 OIPT Systems 5. Oxford Instruments Plasma Technology System Manual Nitrogen Nitrogen is required to vent and purge process chambers, load locks and pumps. 1/4" SWAGELOK CONNECTOR 2 MICRON FILTER TO PLASMALAB OR IONFAB SYSTEM REGULATOR 0 TO 1 SLM FLOW CONTROL ROTAMETER (IF REQUIRED) TO PUMP PURGE CONNECTOR Fig 5.1: Recommended nitrogen supply installation Semiconductor grade fittings and pressure regulators, together with electropolished stainless steel tube must be used to ensure that gas quality is not degraded. Purges to turbo pumps are supplied by OIPT as part of the system. The customer will usually need to fit a purge to the rotary pump. See below. 5.1 Mandatory specifications for Nitrogen supplies • Pipework fittings and pressure regulators: • Gas handling tubing: • Purity: Semiconductor grade • Filtration: • Regulation: • Minimum pressure at input to system: • Rotary pump purging Electropolished stainless steel At least 99.99% or higher to satisfy process requirements. 2 micron filter mounted adjacent to the system. 0.5 to 5 bar (7.5 to 75 psig) 3 bar (45 psig). Certain pumps, for example Edwards Drystar pumps, may need up to 5 bar (75psig) to ensure satisfactory purging. Check with the vendor's instructions. It is the customer’s responsibility to ensure that a rotary pump purge connection is fitted and used correctly. This is needed to ensure the protection of the pumping system from the customer’s process, and may also be required by local safety regulations. Because customers’ requirements vary, components are not supplied automatically by OIPT. Kits of parts are available if required. Contact OIPT for further information. It may be safe to omit this feature on certain systems, such as Ionfab machines running inert gas processes. However, unless the customer has written agreement on this point from OIPT, any damage caused by the omission cannot be covered by the system warranty. Issue 21: January 10 Services Specifications Page 14 of 22 Printed: 6-Jan-10, 8:53 System Manual 6. Oxford Instruments Plasma Technology OIPT Systems Process gases REGULATOR 0.5 BAR TO 5 BAR (7 TO 75 PSIG) LOCATED ADJACENT TO THE SYSTEM NOTES: ALL TUBING TO BE ELECTROPOLISHED STAINLESS STEEL SUPPLY TO GAS POD OR INTERNAL GAS LINE MINIMUM PRESSURE 3 BAR (45 PSIG) ALL FITTINGS, REGULATOR AND FILTER TO BE SEMICONDUCTOR GRADE Fig 6.1: Recommended process gas supply installation Semiconductor grade fittings and pressure regulators, together with electropolished stainless steel tube must be used to ensure that gas quality is not degraded. 6.1 Mandatory specifications for process gas supplies • Pipework fittings and pressure regulators: • Gas handling tubing: • Purity: • Filtration: • Regulation: • Minimum pressure at input to system: 6.1.1 Semiconductor grade Electropolished stainless steel At least 99.99% or higher to satisfy process requirements. A 2-micron filter is fitted to each gas line supplied as part of the system. For other grades of filter, please consult OIPT. 0.5 to 5 bar (7.5 to 75 psig) 2 bar (30 psig) Systems using Helium wafer cooling The specifications for the Helium gas supply are as for those of the process gases given in sub-sections 6 and 6.1 with the exception that the maximum pressure at the inlet to the pressure controller must not exceed 3.5 bar (43 psig). The design of the Helium pressure controller is such that it can be destroyed by higher pressures. Printed: 6-Jan-10, 8:53 Services Specifications Page 15 of 22 Issue 21: January 10 OIPT Systems 6.1.2 Oxford Instruments Plasma Technology System Manual Systems using Helium purge The specifications for the Helium gas supply are as for those of the process gases given in sub-sections 6 and 6.1 with the exception that the maximum pressure at the inlet to the pressure controller must not exceed 3.5 bar (43 psig). The design of the Helium pressure controller is such that it can be destroyed by higher pressures. 6.1.3 Installation of low vapour pressure gases (e.g. SiCl4, BCl3, C4F8) The low vapour pressure can lead to condensation in the gas supply lines, particularly at cold points or when the gas passes into a cooler region. This condensation can result in a build up of liquid in the gas pipe, usually at the low points or u-bends in the gas line, often leading to instability of gas flow, especially if liquid condenses or flows into the MFC. The low vapour pressure can also result in very low gas pressure if the gas cylinder is very cold, e.g. if it is kept outdoors in the winter. Therefore, it is important to adhere to the following guidelines: (A) It is necessary to keep the gas cylinder indoors (in an extracted gas cabinet) to avoid loss of line pressure when the outside temperature is cold. However, do NOT heat the gas cylinder with a heated jacket as this can cause condensation problems when the gas passes into the cooler gas lines. Room temperature is warm enough to provide sufficient vapour pressure. (B) It is important to maintain a positive temperature gradient from the cylinder to the MFC, or at least keep them at the same temperature. The simplest method is to position the gas cabinet close to the gas pod, minimising the chances of temperature differences, reducing the length of the gas pipe, and hence minimising the chances of condensation. If this is not possible, then it is necessary to heat the gas lines by the use of heater tape. The MFC will also need to be heated. OIPT offers a heated MFC kit for these gases. Alternatively, heater tape can be wrapped around the MFC. However, in this case, it may also be necessary to detach the MFC from the backing plate to avoid heat loss through the plate, and to cover the MFC in insulation material to avoid cooling from air flow within the gas pod (from the gas pod exhaust). It will then be necessary to set the MFC temperature hotter than the gas line temperature, which in turn is hotter than the gas cylinder temperature. A typical set-up might be MFC 40 °C or above, gas line 30-40 °C, and gas cylinder at room temperature. (C) If condensation problems are suspected, it will be necessary to pump out the gas lines completely, and optimise the heater tape arrangement and temperature setpoints before refilling the gas line. (D) For SiCl4 it is important to use a dedicated SiCl4 MFC as this is designed specifically for low-pressure condensable SiCl4 operation. Issue 21: January 10 Services Specifications Page 16 of 22 Printed: 6-Jan-10, 8:53 System Manual 7. Oxford Instruments Plasma Technology OIPT Systems Liquid Nitrogen WARNING IF LIQUID NITROGEN FACILITIES ARE NOT INSTALLED, OPERATED AND MAINTAINED CORRECTLY, DANGEROUS SITUATIONS CAN RESULT. THESE INTRODUCE RISKS OF: 7.1 A) HAZARDOUS PRESSURE BUILD-UP CAUSED BY THE BOIL-OFF OF LIQUID NITROGEN, WHICH CAN RESULT IN AN EXPLOSION. B) PERSONAL INJURY FROM TOUCHING PIPEWORK OR OTHER SYSTEM COMPONENTS CARRYING LIQUID NITROGEN. THIS RISK CAN REMAIN EVEN AFTER VENTING THE CHAMBER. C) ASPHYXIATION CAUSED BY THE BOILED-OFF LIQUID NITROGEN REPLACING OXYGEN IN THE SYSTEM ENVIRONMENT. Mandatory Requirements for Liquid Nitrogen systems • Ensure that the Liquid Nitrogen installation is carried out in accordance with local safety regulations. This includes the following: (a) No part of the Liquid Nitrogen circuit can become blocked with ice or other contaminants. (b) Adequate precautions, e.g. pressure relief valves, are fitted to prevent hazardous pressure build-up from boil-off of the Liquid Nitrogen. (c) All system components carrying Liquid Nitrogen are adequately insulated, and covered to prevent personnel touching exposed components. • Ensure that the installation is inspected by a Specialist to confirm that it is safe to use. Inspections must be carried out before the system is commissioned and at regular intervals throughout its life. • Pipework from the Dewar to the system must be adequately insulated and connected to the system via a 3/8” Swagelok connector. Printed: 6-Jan-10, 8:53 Services Specifications Page 17 of 22 Issue 21: January 10 OIPT Systems 8. Oxford Instruments Plasma Technology System Manual Extraction The following mandatory requirements describe the extraction systems recommended by OIPT. While these recommendations may be regarded as “good practice”, they are not a complete definition of the safety standards required when handling toxic, corrosive or otherwise hazardous gases. It is the customer’s responsibility to ensure that the installation meets all relevant local safety regulations and OIPT accepts no responsibility in this respect. For detailed information about the safety aspects of gas handling and pumping systems, the customer should consult the relevant manufacturer/supplier of the gases and pumps to be used. 8.1 Mandatory requirements for Rotary Pump extraction The installation must provide a rotary pump exhaust extraction system which matches the rotary pump exhaust and which conforms to local safety standards. In particular, all fittings and pipework connected to the rotary pump exhaust must be made from industry standard stainless steel in accordance with local safety regulations. Specialised equipment such as scrubbers and furnaces may be needed to dispose of hazardous gases. The routing of the pump exhaust line must be arranged so that condensates cannot flow back into the pump. Note that there is a risk of damage from cross-contamination if rotary pumps share one exhaust system. This applies whether the pumps are on the same system or on different systems. Damage caused by any cross-contamination is not covered by the system warranty. Care must be taken to route mutually incompatible exhaust gases through separate exhaust ducts. In particular, oxygen enriched exhaust gases should not be mixed with exhausts from mineral oil pumps, otherwise, an explosion may occur. 8.2 Mandatory requirements for Gas Pod extraction The gas pod must be connected to the customer's gas extraction system via a 100 mm diameter pipe collar to provide cabinet extraction with a minimum flow rate of 1 m3/hour (6-line gas pod) or 3 m3/hour (12-line gas pod), i.e. an extraction vacuum of approximately 500 Pa relative to local atmospheric pressure is required. It is the customer’s responsibility to ensure that the gas extraction system, including any necessary gas sensors, meets local safety regulations. Issue 21: January 10 Services Specifications Page 18 of 22 Printed: 6-Jan-10, 8:53 System Manual 8.3 Oxford Instruments Plasma Technology OIPT Systems Mandatory requirements for Cryogenic pump extraction WARNING ANY CRYOGENIC PUMP, WHICH PUMPS HAZARDOUS GASES MUST HAVE, A VENT PIPE FITTED TO ITS RELIEF VALVE TO PREVENT THE RELEASE OF GAS INTO THE CLEAN ROOM. THE PUMPS COLLECT THE PROCESS GASES AND THE GASEOUS RESIDUES DURING OPERATION. THESE GASES ARE RELEASED THROUGH THE RELIEF VALVE DURING PUMP REGENERATION OR IF ELECTRICAL POWER IS LOST. If a cryogenic pump is used to pump toxic, corrosive, or flammable gases, a written action plan is required. This must be prepared in consultation with OIPT and other competent bodies. Specialised equipment such as scrubbers and furnaces may be needed to dispose of hazardous gases. If the pumped gases contain more than 20% oxygen, a vent pipe must be fitted to the system’s cryo pump outlet connector. The vent pipe must be routed to a safe place outside of the clean room and conform to local safety standards. If a cryogenic pump is used to pump gases containing more than 20% oxygen, the associated roughing pump(s) must be lubricated with a PFPE fluid, e.g. Fomblin or Krytox. Printed: 6-Jan-10, 8:53 Services Specifications Page 19 of 22 Issue 21: January 10 OIPT Systems Oxford Instruments Plasma Technology 9. Environment 9.1 Statement of intended use System Manual This equipment is intended to be used by skilled and trained personnel for processing materials within a controlled access environment. 9.2 Mandatory Specifications for the system environment Rated for use in a Pollution Degree 1 Installation Category environment (laboratory or clean industrial environment). • • • • • • Operating temperature: Storage temperature: Maximum humidity: Minimum humidity: Electrostatic build-up: Ambient light level: 5°C to 25°C. 0°C to 40°C. 90% See NOTE 1. 10% See NOTE 2. Low static environment. See NOTE 2. 300 lux minimum. NOTE 1: High humidity will have a progressively significant effect on system performance. At humidity greater than 50%, the rate of chamber pumpdown after venting the chamber will be affected significantly, and at humidity greater than 65%, the rate of chamber pump-down may not meet system specifications. NOTE 2: Low humidity will introduce a risk of electrostatic build-up, with subsequent discharge to the system producing a malfunction or damage. The systems are tested to EN60801-2, severity level 3. We recommend the use of an environment, which protects against electrostatic build-up, and extra precautions will be necessary at low humidity. Issue 21: January 10 Services Specifications Page 20 of 22 Printed: 6-Jan-10, 8:53 System Manual Oxford Instruments Plasma Technology OIPT Systems NOTES: Printed: 6-Jan-10, 8:53 Services Specifications Page 21 of 22 Issue 21: January 10 OIPT Systems 10. Oxford Instruments Plasma Technology System Manual OIPT locations worldwide UK Oxford Instruments Plasma Technology North End, Yatton, Bristol, BS49 4AP Tel: +44(0)1934 837000 Fax: +44(0)1934 837001 Email: [email protected] Web: www.oxfordinstruments.com/plmchp5.htm USA Oxford Instruments Inc. 300 Baker Avenue, Suite 150 Concord, MA 01742 Phone: +1 978-369-9933 Toll Free: +1 800-447-4717 Fax: +1 978-369-8287 Email: [email protected] Germany Oxford Instruments GmbH Otto-von-Guericke Ring 10, D-65205 Wiesbaden Tel: +49(0)6122 937161 Fax: +49(0)6122 937175 Email: [email protected] Issue 21: January 10 Japan Oxford Instruments K.K. 2-11-6 Tomioka Koto-ku, Tokyo 135-0047 Tel: +81-3-5245-3261 Fax: +81-3-5245-4466 Email: [email protected] Web: www.oxford-instruments.jp People’s Republic of China (Beijing) Oxford Instruments China Room 714, Office Tower 3, Henderson Center, No. 18 Jianguomennei Ave, Dongcheng District, Beijing 100005 Tel: +86 106518 8160/1/2 Fax: +86 106518 8155 Email: [email protected] Web: www.oxford-instruments.com.cn People’s Republic of China (Shanghai) Oxford Instruments China Room 14-F, No.1 Plaza 800 Nanjing East Road Shanghai 200001 Tel: +86 216360 8530 Fax: +86 216360 8535 Email: [email protected] Web: www.oxford-instruments.com.cn Singapore Oxford Instruments Pte. Ltd 371 Beach Road, #02-07 Keypoint Singapore 199597 Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] Taiwan Oxford Instruments Overseas Marketing Ltd. th 1F, No 23 Jing-Shang 19 Street, Hsinchu, Taiwan Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] Services Specifications Page 22 of 22 Printed: 6-Jan-10, 8:53 bèìáéãÉåí=j~åì~ä= ^ää=lfmq=póëíÉãë= RF Automatic Matching Unit Issue 6: September 2005 Page 1 of 20 ^ää=lfmq=póëíÉãë lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual Contents 1. Health and Safety........................................................................................................3 2. Description...................................................................................................................3 2.1 Introduction ........................................................................................................................3 2.2 Major components .............................................................................................................4 2.3 Automatch control .............................................................................................................5 2.3.1 RF generator panel .....................................................................................................5 2.3.2 RF automatch panel....................................................................................................5 2.4 Matching component layouts............................................................................................6 2.5 Sense and control PCB........................................................................................................7 3. Test and setting up......................................................................................................8 3.1 Disabling the RF generators ..............................................................................................8 3.2 Overview .............................................................................................................................8 3.3 Procedure ............................................................................................................................9 4. Operator adjustment ................................................................................................13 4.1 DC bias / Peak-to-peak switch setting .............................................................................13 5. Troubleshooting ........................................................................................................14 5.1 Fault diagnosis chart ........................................................................................................14 5.1.1 Amplifier gain adjustment .......................................................................................15 5.1.2 Drive motor shaft to capacitor spindle alignment .................................................16 5.2 Link Settings......................................................................................................................17 5.3 Changing the RF components .........................................................................................18 5.4 Adjustment of capacitor park positions..........................................................................19 6. OIPT locations worldwide .........................................................................................20 Fig 1: The Oxford Instruments Plasma Technology automatch unit .........................................3 Fig 2: Typical RF generator and RF automatch panels ...............................................................5 Fig 3: Matching component layouts............................................................................................6 Fig 4: Sense and control PCB layout ............................................................................................7 Fig 5: Capacitor shafts rotation direction .................................................................................10 Fig 6: Component locations .......................................................................................................11 Fig 7: LK102 and LK2 settings ....................................................................................................15 Issue 6: September 05 OIPT Automatch Unit Page 2 of 20 Printed: 16-Nov-07, 9:26 System Manual NK lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^ää=lfmq=póëíÉãë eÉ~äíÜ=~åÇ=p~ÑÉíó= For Health and Safety aspects of operating and maintaining the Oxford Instruments Plasma Technology Automatch Unit, refer to Section 1 - Health and Safety of your mä~ëã~ä~Ä or fçåÑ~Ä system manual. OK aÉëÅêáéíáçå= OKN fåíêçÇìÅíáçå= The purpose of the Oxford Instruments Plasma Technology Automatch Unit (AMU) is to match the impedance of mä~ëã~ä~Ä process chambers and fçåÑ~Ä RF ion sources to RF generators with an output impedance of 50 ohms, operating at 13.56 MHz. DC bias / Peakto-peak switch Fig 1: The Oxford Instruments Plasma Technology automatch unit Different versions of internal components exist, but all AMUs in this series share common electronic controls and setting up instructions. The main versions are: Low power: The two matching capacitors are air-cooled vane types. High power: The two matching capacitors are water-cooled vacuum types. The low power version is used for single wafer electrodes matching up to 500W. (The air vane AMU is rated for 300W operation in all OIPT tools, and for use up to 500W in specific builds.) The high power version is used in most other applications, including batch electrode matching, 3kW ICP source, and 3cm and 15cm RF ion sources. Note that OIPT also manufactures a 5kW AMU for use with the ICP 380 source and the 35cm RF ion source. This AMU has an associated dedicated manual. Printed: 16-Nov-07, 9:26 OIPT Automatch Unit Page 3 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë OKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual j~àçê=ÅçãéçåÉåíë= The AMU comprises: a) an input section with a type N coaxial connector, and a coupler giving ‘out of match’ error signals when the reflected power is greater than 1% of the forward power. b) an RF section containing two motor-driven variable capacitors, together with a coil where necessary. c) a DC bias / Peak to peak, set by local switch, signal path. (Only fitted to AMUs used for matching to a powered wafer table.) d) an electronic control board, which uses the error signals to drive the variable capacitors towards match. Issue 6: September 05 OIPT Automatch Unit Page 4 of 20 Printed: 16-Nov-07, 9:26 System Manual OKP lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^ää=lfmq=póëíÉãë ^ìíçã~íÅÜ=Åçåíêçä= The Automatch Unit is controlled by the PC 2000 software via the PLC. Manual and automatic control is provided on the PC 2000 process page. Typical RF GENERATOR and RF AUTOMATCH panels are shown in Fig 2 and brief descriptions of the control facilities are given in the following sub-sections. Fig 2: Typical RF generator and RF automatch panels OKPKN OKPKO oc=ÖÉåÉê~íçê=é~åÉä= RF POWER indicator Displays the ON/OFF status of the RF Generator (coloured purple for ON and grey for OFF). Forward Power field Readback fields Enter the required forward power in Watts. Display the current forward power, reflected power and DC Bias values. oc=~ìíçã~íÅÜ=é~åÉä= CAPACITOR 1 fields Enter the required position for variable AMU Capacitor 1. The position can be set between 0%, minimum capacitance, and 100%, maximum capacitance. The capacitor position read back is displayed. CAPACITOR 2 fields Enter the required position for variable AMU Capacitor 2. The position can be set between 0%, minimum capacitance, and 100%, maximum capacitance. The capacitor position read back is displayed. AUTO button Select to enable the AMU to tune automatically when the RF generator is switched on. When the RF generator is switched off, the capacitors return to the park position. When selected, the button’s indicator is coloured yellow. MANUAL button Select to enable the AMU to move the capacitors to the values defined in the CAPACITOR 1 and CAPACITOR 2 fields; the capacitors will remain in these positions. When selected, the button’s indicator is coloured yellow. HOLD button Select to enable the AMU to tune automatically when the RF generator is switched on. When the RF generator is switched off, the capacitors remain at the last position. When selected, the button’s indicator is coloured yellow. Printed: 16-Nov-07, 9:26 OIPT Automatch Unit Page 5 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë OKQ System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== j~íÅÜáåÖ=ÅçãéçåÉåí=ä~óçìíë= The layout of the matching components depends on the device to be matched to the RF Generator to ensure maximum power transfer. Typical layouts of the components are shown in Fig 3. Note that in the typical layout, padding capacitors can be added in parallel with C1 and C2 to modify their capacitance ranges. Refer to sub-section 5.3 (page 18) for details. C2 PADDING CAPACITORS C2 RF IN C1 PADDING CAPACITORS COIL RF OUT C1 DC BIAS COIL TO DC BIAS CIRCUIT TYPICAL MATCHING COMPONENTS (LAYOUT 1) C1 RF IN RF OUT C2 ALTERNATIVE MATCHING COMPONENT LAYOUT (LAYOUT 2) NOTE: In some systems the circuit labels C1 and C2 are reversed. Fig 3: Matching component layouts Issue 6: September 05 OIPT Automatch Unit Page 6 of 20 Printed: 16-Nov-07, 9:26 System Manual OKR lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^ää=lfmq=póëíÉãë pÉåëÉ=~åÇ=Åçåíêçä=m`_= Refer to drawing 94-SE00A17801 for a circuit diagram of the AMU. The layout of the Sense and Control PCB is shown in Fig 4 . Fig 4: Sense and control PCB layout Printed: 16-Nov-07, 9:26 OIPT Automatch Unit Page 7 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë PK lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual qÉëí=~åÇ=ëÉííáåÖ=ìé= WARNING HAZARDOUS RF VOLTAGE - CONTACT CAN CAUSE DEATH, SEVERE INJURY OR BURNS. ANY WORK REQUIRING THE REMOVAL OF COVERS OR PANELS MUST ONLY BE PERFORMED BY AUTHORISED PERSONNEL WHO ARE AWARE OF THE HAZARDS INVOLVED. NOTE: PKN Detailed test instructions are contained in Oxford Instruments Plasma Technology Work Instruction No. 39. The following is a summary for the benefit of skilled service engineers. It should not be necessary for operators to perform these setting up operations. aáë~ÄäáåÖ=íÜÉ=oc=ÖÉåÉê~íçêë= Before removing an RF shielding panel, disable the RF generators by opening the interlock chain. This can be done most easily by partially venting the process chamber using the 'Service mode' vacuum control page to isolate the chamber and open the vent valve for 10-30 seconds, until the interlock indicator changes state. PKO lîÉêîáÉï= Connect AMU to system Steps 1-8: RF POWER SUPPLY OFF Set mechanical movement range Steps 1 to 5 Step 6 Confirm end-stop points Step 7 Set gain levels Step 8 Find manual match Steps 9 to 12 Set park positions Step 13 Set RV1 and RV2 Step 14 Confirm auto-match for low power operation Step 15 Confirm auto-match for high power operation Issue 6: September 05 OIPT Automatch Unit Page 8 of 20 Printed: 16-Nov-07, 9:26 System Manual PKP lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^ää=lfmq=póëíÉãë mêçÅÉÇìêÉ= WARNING HAZARDOUS RF VOLTAGE - CONTACT CAN CAUSE DEATH, SEVERE INJURY OR BURNS. ENSURE THAT THE RF SUPPLY IS SWITCHED OFF WHILE CARRYING OUT STEPS 1 TO 8. 1) Connect the AMU to the system. Make a good earth bond between the vacuum chamber and the AMU chassis. 2) Connect the output strap to the plasma electrode with at least a 25 mm wide copper strap, with at least 10 mm clearance between the live strap and any earthed part. 3) Confirm the polarity and voltage of the dc power source before connecting to the Sense and Control PCB: JP7 pin 1 JP7 pin 2 +24 Vdc 0V 4) Ensure the ventilation fans are all pulling air out of the automatch case and check the system cooling is turned on. For sense board cooling, check one fan is pulling air out and one is pulling air in to the sense board section. 5) Confirm the operation of each motor using the manual button (see sub-section 2.3.2). An increase in capacitor position should make the vanes overlap more. In the case of a vacuum capacitor, an increase in position should turn the capacitor shaft anti-clockwise, when looking at it from the motor end (see Fig 5). Check with a capacitance meter in case of doubt. If the motors do not turn in the correct direction check the plug in connector on the board, refer to OIPT Work Instruction No. 39. 6a) Calibrate the motors and their respective positions as follows: For gear driven capacitors: Loosen screws retaining the motors to the AMU box, but don’t remove completely and ensure the gears don’t mesh. For direct drive capacitors: Unscrew the motor plate attached to the side of the AMU box and pull the coupling apart. Then, For Air Vane Capacitor AMU: Keeping gears separated, overlap the vanes fully. Then, making sure that the gears don’t mesh, drive both motors to maximum (999), using the AMU control panel. For Vacuum Capacitor AMU (AMU board REV05 and earlier): Set the capacitor positions and drive motors to their required position according to the system type as shown in the following table: Printed: 16-Nov-07, 9:26 OIPT Automatch Unit Page 9 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Type Set C1 to Then move in Drive C1 Motor to Set C2 to Then Move in Drive C2 Motor to RIE/DP Maximum 1 turn Maximum (999) Maximum 1 turn Maximum (999) ICP180 Maximum 1 turn Maximum (999) Minimum 1 turn Minimum (000) Ion Beam Minimum 1 turn Minimum (000) Minimum 1 turn Minimum (000) ICP 380 Maximum 1 turn Maximum (999) Maximum 7 Turns Maximum (999) To find the minimum position, turn the capacitor shaft in the direction of the arrow, shown in Fig 5. Padding capacitor mounting position To find the maximum position, turn the capacitor shaft opposite to the direction of the arrow in Fig 5. At the minimum position, the shaft becomes stiff; at the maximum position, the shaft becomes loose. Do not try to turn the shaft past these points. If when turning the capacitor to the maximum position, the shaft becomes loose, turn the shaft back in until it just begins to bite, this is the maximum position. Fig 5: Capacitor shafts rotation direction For Vacuum Capacitor AMU (AMU board with capacitor range mod.): (Applies to all system types) Rotate the vacuum capacitor shaft clockwise as you look at it from the motor end (see Fig 5) until the shaft just becomes stiff, and then turn in half a turn. Making sure the motors don’t mesh, drive both motors to minimum (000). 6b) For gear driven capacitors: Re-mesh the gears and tighten motor retaining screws, ensuring that the motor and capacitor positions don’t move. For direct drive capacitors: Loosen the coupling clamp screw on the capacitor side, turn the coupling on the capacitor side until it lines up wit that on the motor side ensuring neither the capacitor nor the motor change position at any time. Clip the coupling together and tighten the clamp screw. Then re-attach the motor plate. 7) Confirm the ‘end of range’ stop functions using the manual drive switches located on the AMU panel: Drive C1 positive to the stop position; LED 101 lights; stop point in the range 950-999 Issue 6: September 05 OIPT Automatch Unit Page 10 of 20 Printed: 16-Nov-07, 9:26 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^ää=lfmq=póëíÉãë Drive C1 negative to the stop position; LED 102 lights; stop point in the range 000 050 Drive C2 positive to the stop position; LED 1 lights; stop point in the range 950-999 Drive C2 negative to the stop position; LED 2 lights; stop point in the range 000 - 050 If these aren’t working as stated, refer to section 5 or OIPT Work Instruction No. 39 8) Set LK2 and LK 102 to position ‘b’, and then turn RV1 and RV101 fully clockwise until they begin to click (see Fig 6 or Fig 4). LK2 RV1 JP3 LK102 RV101 Fig 6: Component locations 9) Fit all covers to the AMU ensuring that they are securely fitted, and then connect the RF generator to the matching unit. Evacuate the process chamber and turn on a low power process. For a Plasmalab system, a suitable process would be: RF Generator output: 50 W Pressure: 50 mTorr (RIE), 1 Torr (PECVD), 0.1 Torr (PE) Gas: 20-100 sccm air, nitrogen or argon. For an ion beam system, a suitable process would be: RF generator output: 150 W (3 cm to 5 cm diameter) 300 W (15 cm to 20 cm diameter) Gas: 10 sccm Argon It may be necessary to use a gas burst to start the plasma, and it may be necessary to start the neutralizer, if fitted. Printed: 16-Nov-07, 9:26 OIPT Automatch Unit Page 11 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë 10) System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== Manually match to the lowest possible reflected power using the AUTOMATCH panel (see sub-section 2.3.2), and make sure a plasma is running. Adjust the error signal zero potentiometers RV1 and RV2 (located on the same side of the AMU as the RF in connector; see Fig 1), while monitoring the two error signals on JP3 pins 1 and 3 (See Fig 6). These should be less than 20 mV when a match exists. Amplified error signals are accessible at TP 10 and TP 110; these should be made as low as possible when the RF is well matched. For Vacuum Capacitor AMU (AMU board REV05 and earlier): If it is not possible to find a match position due to the match position being beyond the range of the capacitors, stop the process and remove the AMU cover again. If C1 is attempting to drive above the maximum position, add 180 pF padding capacitor (Part Number 94-ECC1218), or turn 2 turns anti-clockwise towards maximum. If C1 is attempting to drive past the minimum position, remove a padding capacitor if already fitted, otherwise turn 2 turns clockwise towards minimum. If C2 attempts to drive above the maximum position turn 2 turns anti-clockwise, if C2 attempts to drive below the minimum position, turn 2 turns clockwise. Whilst doing this, be careful not to turn the capacitors beyond their physical end stops. For Vacuum Capacitor AMU (AMU board with capacitor range mod.): If C1 attempts to drive above maximum position, add 180 pF padding capacitor. If C1 is attempting to drive past the minimum position, remove a padding capacitor if already fitted. If C2 attempts to drive past maximum or minimum position, check that the correct inductor is fitted and that the capacitors are correctly fitted to the system. If these are correct, the match position is out of the range of C2. For Air Vane Capacitor AMU: If C1 or C2 attempts to drive above maximum position, add 180 pF padding capacitor. If C1 or C2 is attempting to drive past the minimum position, remove a padding capacitor if already fitted. It is not necessary to reset the positions of the Air Vane Capacitors. 11) Increase the RF power in a few steps to maximum and check for RF leakage, arcing or local overheating. 12) Rematch manually, when at maximum RF power, manually to less than 1% reflected power if possible; less than 3% is the maximum reflected power acceptable. Refine the zero settings of the error signals. 13) Make a note of the capacitor position values when a good match is achieved. Stop the process and adjust the park positions to a value below that of the match position (within around 050 units on the position display), making sure that C2 is closer to its match position than C1. Re-start the process with the AUTOMATCH panel’s Auto button selected (see subsection 2.3.2) to make sure the match is successful. If there is a large reflected power, repeat Step 10. If there is a small amount of reflected power, which can’t be reduced manually, RV1 and RV2 on the side of the AMU can be used to make finer adjustments when in auto mode. 14) If the capacitors oscillate when in auto, reduce the gain of the control circuit by slowly turning RV1 and RV101 anti-clockwise (if C2 oscillates adjust RV1, if C1 oscillates adjust RV101) until oscillations stop. If the potentiometer’s RV1 or RV101 begin to click before the oscillations have ceased, turn them fully clockwise until Issue 6: September 05 OIPT Automatch Unit Page 12 of 20 Printed: 16-Nov-07, 9:26 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^ää=lfmq=póëíÉãë they begin to click again and change LK2 (corresponding to RV1) or LK102 (corresponding to RV101) to position ‘a’ and begin turning the potentiometers anticlockwise again until the oscillations stop. 15) QK Confirm the automatching behaviour, increasing the RF power to maximum in small steps, repeating Steps 10 to 13 if necessary. léÉê~íçê=~ÇàìëíãÉåí= WARNING THE FOLLOWING ADJUSTMENT INVOLVES WORKING ON THE SYSTEM WITH PANELS/COVERS REMOVED. IT SHOULD ONLY BE CARRIED OUT BY TRAINED PERSONNEL WHO ARE AWARE OF THE HAZARDS INVOLVED. QKN a`=Äá~ë=L=mÉ~âJíçJéÉ~â=ëïáíÅÜ=ëÉííáåÖ= The DC bias / Peak-to-peak switch is located on the outer case of the AMU, adjacent to RV1 (null adjustment potentiometer). See Fig 1, page 3. This switch selects one of two sensing output signals from the AMU: DC bias: This switch setting is the default position. The output is a SCALED dc voltage proportional to the RF-induced self-bias on the electrode, sometimes called the 'DC bias'. This is a negative offset voltage on the electrode with respect to ground, which is inverted and conventionally referred to as a positive value, typically 100 - 600 Vdc. Normal scaling can read up to 1000 Vdc. This signal is read by the front-end software with correct scaling on OIPT tools. Peak-to-peak: The output is an UNSCALED dc voltage related to the peak-to-peak value of the RF signal at the output of the automatch. This can be useful when the scaled dc bias is inaccessible, for example if the electrode has no dc contact to the plasma because a quartz carrier plate masks the whole electrode. The value displayed on the PC screen will be an arbitrary value, not a true peak-to-peak value, but can still be a useful monitor. Note that the software has no knowledge of the switch setting, and is scaled only for the dc bias setting. Printed: 16-Nov-07, 9:26 OIPT Automatch Unit Page 13 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë RK lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual qêçìÄäÉëÜççíáåÖ= WARNING HAZARDOUS RF VOLTAGE - CONTACT CAN CAUSE DEATH, SEVERE INJURY OR BURNS. ANY WORK REQUIRING THE REMOVAL OF COVERS OR PANELS MUST ONLY BE PERFORMED BY AUTHORISED PERSONNEL WHO ARE AWARE OF THE HAZARDS INVOLVED. RKN c~ìäí=Çá~Öåçëáë=ÅÜ~êí= Use the following chart to locate and identify faults. Note that the chart lists typical fault symptoms and is not exhaustive. SYMPTOM (A) Drive motors do not respond to automatic or manual control POSSIBLE CAUSES Fuse FS2 blown ACTION Investigate and repair fault, which caused the fuse to blow. Then renew the fuse. Fuse FS1 or FS101 tripped. Investigate and repair the fault, which caused the fuse to trip. (The fuses will automatically reset once the fault is repaired.) Note that these components are semiconductor fuses which respond to an increase in current above their rating (approximately 200 mA) by increasing their internal resistance significantly. The voltage drop across each of these components under non-fault conditions is approximately 0.5V When tripped by high current, the voltage drop is almost the full supply voltage i.e. 24V. (B) C1 or C2 drive to min or max 1. C1 or C2 starting too far from final match position 2. Match position is out of accessible range (C) C1 or C2 drive to min or max and do not return manually Capacitor has travelled past an electrical limit (D) Plasma does not strike even though the reflected power is low Gas pressure is too low or too high Issue 6: September 05 After power is removed, the devices require 20 seconds to cool down and reset. Adjust park potentiometers (Refer to subsection 5.4 (page 19). 1. Change process conditions 2. Change component fit in AMU (skilled personnel only). Refer to sub-section 5.3 (page 18). 1. All vane capacitors only: Continue manual travel in the same direction (to max if capacitor is at max). The capacitor will turn fully until it passes the opposite limit. 2. Readjust limit settings Caution: do not run for > 2 minutes in this condition. Change gas pressure to 20 - 200 mTorr range (RIE), or 0.5 - 1.5 Torr range (PECVD) OIPT Automatch Unit Page 14 of 20 Printed: 16-Nov-07, 9:26 System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== SYMPTOM (E) C1 or C2 oscillate close to match POSSIBLE CAUSES 1. Amplifier gain too high ACTION See sub-section 5.1.1 (page 15). 2. C1 or C2 spindle thread dirty. (F) Drive motor shafts rotate but capacitor spindles do not. Coupling between the motor shafts and capacitor spindles has become disengaged or loose. Park potentiometers have broken Remove capacitor, clean and re-lubricate capacitor’s spindle thread and bearing. Realign; see sub-section 5.1.2 (page 16). See sub-section 5.1.2 (page 16). (G) End stops don’t work, motor drives through. (H) Error signal pots don’t adjust the error signal or both adjust one signal only. Links placed incorrectly ^ää=lfmq=póëíÉãë Check park potentiometers behind AMU control panel and replace if necessary. Check links LK6, 7, 10 & 107 to ensure they are in the correct position. If link is open end type, ensure that metal insert is still present. Hints and Tips RKNKN • Do not remove anything from the Motor Control board without removing the power (JP2) first. • When in ‘Auto’ mode RV1 and RV2 found on the side of the AMU casing can be used to make fine adjustments to the match position. ^ãéäáÑáÉê=Ö~áå=~ÇàìëíãÉåí= Refer to Symptom (E) in the Fault diagnosis chart (see sub-section 5.1, page 14). When adjusting the amplifier gain, initially adjust the associated variable resistor (RV101 for C1 or RV1 for C2; see for locations). These variable resistors provide a ‘fine’ adjustment. If required, a coarse adjustment is available by using links LK102 and LK2 as shown in Fig 7. Link shown in position A Pin on PCB A B C Position A = Lowest amplifier gain Position B = Medium amplifier gain Position C = Highest amplifier gain Fig 7: LK102 and LK2 settings Printed: 16-Nov-07, 9:26 OIPT Automatch Unit Page 15 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë RKNKO System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== aêáîÉ=ãçíçê=ëÜ~Ñí=íç=Å~é~Åáíçê=ëéáåÇäÉ=~äáÖåãÉåí= Refer to Symptom (F) in the Fault diagnosis chart (sub-section 5.1, page 14). If the coupling between the motor shafts and capacitor spindles, has become disengaged or loose, use the following procedure to align the shafts/spindles to their correct relative positions. 1) Tighten the shaft and spindle grub screws. 2) Loosen the two bolts securing the motor/gear assembly to the AMU casing. 3) Carefully slide the motor/gear assembly away from the fan housing to disengage the gear wheels from the capacitor. 4) Align the gear wheels to the capacitor depending on the AMU version as follows: a) Air spaced capacitor AMUs (i) Set the relevant capacitor to MANUAL mode. (ii) Set the relevant capacitor to MAX, so that the motor drives the read-back potentiometer to its upper limit, without driving the capacitor itself. (iii) Fully mesh the capacitor vanes. b) Vacuum capacitor AMUs for RIE/PECVD applications c) Vacuum capacitor AMUs for the ICP 180 application d) Vacuum capacitor AMUs for RF ion source applications (i) Set the relevant capacitor to MANUAL mode. (ii) Set the relevant capacitor to MAX, so that the motor drives the read-back potentiometer to its upper limit, without driving the capacitor itself. (iii) Set the relevant capacitor to maximum by rotating its shaft anti-clockwise until the shaft becomes loose and starts to unscrew from the capacitor body, then rotate the shaft one turn clockwise. For C1, use the procedure in b) above. For C2, use the following steps: (i) Set C2 to MANUAL mode. (ii) Set the C2 to MIN so that the motor drives the readback potentiometer to its lower limit, without driving the capacitor itself. (iii) Set C2 to minimum by rotating its shaft clockwise until the physical end stop is reached, and then rotate the shaft one turn anti-clockwise. For C1 and C2 alignment in this AMU, use the procedure for C2 in c) above. 5) On completion of capacitor alignment in Step 4), re-engage the motor/gear assembly to the capacitor and tighten the securing bolts. 6) Check capacitor travel. Issue 6: September 05 OIPT Automatch Unit Page 16 of 20 Printed: 16-Nov-07, 9:26 System Manual RKO lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^ää=lfmq=póëíÉãë iáåâ=pÉííáåÖë= Incorrect link settings can cause the AMU to malfunction. The factory default settings are given in the following table: Link LK1 Air spaced Capacitor A Low Power Vacuum Capacitor A High Power Vacuum Capacitor A LK2 B A B LK3 A A A LK4 B A A Incremental Gain Signal. LK4 in position ‘A’ enables extra gain when in position control. This is used when driving a vacuum capacitor. LK5 A A A Panel/PLC Controller. Position B for AMU controlled by PLC LK6 A A B Changes the biasing on the input amplifier for C2 motor LK7 B B A Changes the biasing on the input amplifier for C2 motor LK101 A A A Setting ‘A’ enables park position. Setting ‘B’ disables park. LK102 B A B LK104 B A A Coarse gain setting for C1 (‘A’ – low, ‘B’ – medium, ‘C’ - high) Incremental Gain Signal. LK104 in position ‘A’ enables extra gain when in position control. This is used when driving a vacuum capacitor. LK105 A A A Panel/PLC Controller. Position ‘B’ for AMU controlled by PLC LK106 A A B Changes the biasing on the input amplifier for C1 motor LK107 A A B Changes the biasing on the input amplifier for C1 motor Printed: 16-Nov-07, 9:26 Notes Setting A enables park position. Setting B disables park. Coarse gain setting for C2 (‘A’ – low, ‘B’ – medium, ‘c’ - high) Setting ‘B’ simulates RF on signal (for testing only). OIPT Automatch Unit Page 17 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë RKP System Manual lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== `Ü~åÖáåÖ=íÜÉ=oc=ÅçãéçåÉåíë= This may be necessary to match a process beyond the normal operating range. WARNING HAZARDOUS RF VOLTAGE - CONTACT CAN CAUSE DEATH, SEVERE INJURY OR BURNS Any work requiring the removal of covers or panels must only be performed by authorised personnel who are aware of the hazards involved. Turn off the RF generator completely before removing the smaller L-section cover. This reveals the ends of the two variable capacitors and mounting positions for extra fixed capacitors. CAUTION Components fitted must be suitable for RF power service. Low power circuit devices will overheat quickly. Suitable components are given in the following table: OIPT Part Number 94-ECC1209 94-ECC1218 Capacitance 90pf 180pF Rating 1kV 2kV Change components according to the following table: C1 going maximum Add fixed capacitance in parallel with C1 See following NOTE. C1 going minimum Remove fixed capacitance in parallel with C1 Minimum is zero C2 going maximum 1. Add fixed capacitance in parallel with C2 2. Increase coil inductance See following NOTE. C2 going minimum 1. Remove fixed capacitance in parallel with C2 Minimum is zero fixed capacitance 2. Decrease coil inductance NOTE: Variable capacitors C1 and C2 have a maximum capacitance of 1000pF and 500pF respectively. For each of these variable capacitors there are three positions for fitting parallel ‘padding’ capacitors. Therefore, a maximum of 3 x 180pF padding capacitors could be fitted but usually there is no need to fit more than one padding capacitor (i.e. 180pF). Issue 6: September 05 OIPT Automatch Unit Page 18 of 20 Printed: 16-Nov-07, 9:26 System Manual RKQ lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== ^ää=lfmq=póëíÉãë ^ÇàìëíãÉåí=çÑ=Å~é~Åáíçê=é~êâ=éçëáíáçåë= The capacitors drive automatically to the park positions if: the AMU is set to Auto the RF is off the circuit board links enable parking The park positions can be adjusted when all of these conditions are satisfied, by altering the corresponding potentiometer with a small flat-bladed screwdriver. The capacitor will move when the potentiometer is adjusted, and the park position is displayed as the capacitor position. Printed: 16-Nov-07, 9:26 OIPT Automatch Unit Page 19 of 20 Issue 6: September 05 ^ää=lfmq=póëíÉãë SK lñÑçêÇ=fåëíêìãÉåíë=mä~ëã~=qÉÅÜåçäçÖó== System Manual lfmq=äçÅ~íáçåë=ïçêäÇïáÇÉ UK Oxford Instruments Plasma Technology North End, Yatton, Bristol, BS49 4AP Tel: +44(0)1934 837000 Fax: +44(0)1934 837001 Email: [email protected] Web: www.oxfordinstruments.com/plmchp5.htm USA Oxford Instruments Inc. 300 Baker Avenue, Suite 150 Concord, MA 01742 Phone: +1 978-369-9933 Toll Free: +1 800-447-4717 Fax: +1 978-369-8287 Email: [email protected] Germany Oxford Instruments GmbH Otto-von-Guericke Ring 10, D-65205 Wiesbaden Tel: +49(0)6122 937161 Fax: +49(0)6122 937175 Email: [email protected] Issue 6: September 05 Japan Oxford Instruments K.K. 2-11-6 Tomioka Koto-ku, Tokyo 135-0047 Tel: +81-3-5245-3261 Fax: +81-3-5245-4466 Email: [email protected] Web: www.oxford-instruments.jp People’s Republic of China (Beijing) Oxford Instruments China Room 714, Office Tower 3, Henderson Center, No. 18 Jianguomennei Ave, Dongcheng District, Beijing 100005 Tel: +86 106518 8160/1/2 Fax: +86 106518 8155 Email: [email protected] Web: www.oxford-instruments.com.cn People’s Republic of China (Shanghai) Oxford Instruments China Room 14-F, No.1 Plaza 800 Nanjing East Road Shanghai 200001 Tel: +86 216360 8530 Fax: +86 216360 8535 Email: [email protected] Web: www.oxford-instruments.com.cn Singapore Oxford Instruments Pte. Ltd 371 Beach Road, #02-07 Keypoint Singapore 199597 Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] Taiwan Oxford Instruments Overseas Marketing Ltd. th 1F, No 23 Jing-Shang 19 Street, Hsinchu, Taiwan Tel: +65 6337 6848 Fax: +65 6337 6286 Email: [email protected] OIPT Automatch Unit Page 20 of 20 Printed: 16-Nov-07, 9:26 ALD Ozone Delivery System Ozone Delivery System for FlexAL and OpAL Systems Equipment Manual Issue 1: July 2010 ALD Ozone Delivery SystemOxford Instruments Plasma Technology Equipment Manual Contents Section 1 Health and Safety ....................................................................................... 1-1 Section 2 Services...................................................................................................... 2-1 Section 3 Description................................................................................................. 3-1 Section 4 Installation ................................................................................................. 4-1 Section 5 Operating Instructions ................................................................................ 5-1 Section 6 Maintenance and Troubleshooting .............................................................. 6-1 Record of Changes Issue No. 1 First issue. Details of change OEM Manuals The following OEM manuals are applicable to the ozone delivery system: Atlas 25 ozone generator C-30ZX ozone monitor Issue 01: July 10 Ozone Delivery system for FlexAL and OpAL Systems Page 2 of 2 Printed: 14-Jul-10, 7:01 Date July 10 ALD Ozone Delivery System 1 Oxford Instruments Plasma Technology Equipment Manual Health and Safety 1 Health and Safety ................................................................................................................... 1-1 1.1 Health and safety for the ozone delivery system..................................................................1-2 1.2 Hazard information for ozone .............................................................................................1-2 1.2.1 Charecteristics of ozone...............................................................................................1-2 1.2.2 Potential health effects of ozone ..................................................................................1-2 1.3 Additional hazard information for the ozone delivery system ..............................................1-3 1.3.1 Weight .........................................................................................................................1-3 1.3.2 High voltage.................................................................................................................1-3 1.4 Safety features ....................................................................................................................1-3 Printed: 14-Jul-10, 7:20 Health and Safety Page 1-1 of 4 Issue 01: July 10 ALD Ozone Delivery System 1.1 Oxford Instruments Plasma Technology Equipment Manual Health and safety for the ozone delivery system This chapter contains specific health and safety information for operating and maintaining the ALD Ozone Delivery System. This chapter must be read in conjunction with Section 1 Health and Safety of the manual provided with the FlexAL or OpAL atomic layer deposition (ALD) tool that the ozone generator is attached to. 1.2 Hazard information for ozone 1.2.1 Characteristics of ozone Ozone is a colourless gas at room temperatures. It has a characteristic sharp, irritating odour. Ozone is a powerful oxidizing agent. Oxidation reactions involving ozone evolve more heat than similar reactions involving oxygen. Reactions involving ozone can usually be initiated at lower temperatures than similar reactions involving oxygen. Ozone reacts with non-saturated organic compounds to produce ozonides, which are unstable and may decompose with explosive violence. Ozone is an unstable gas which, at normal temperatures, decomposes to diatomic oxygen. At elevated temperatures and in the presence of certain catalysts such as hydrogen, iron, copper and chromium, this decomposition may be explosive. All personnel who will install, maintain or operate the ozone delivery system must fully understand the hazards associated with ozone before beginning work. All such personnel must read and understand the appropriate Material Safety Data Sheet (MSDS). 1.2.2 Potential health effects of ozone When inhaled, ozone irritates the nose, throat, and chest and also causes dryness of the mouth. Ozone may cause difficulty in breathing, headache, and fatigue. The characteristic sharp, irritating odour is readily detectable at low concentrations (0.01 to 0.05 ppm). Ozone irritates the eyes causing pain, lacrimation, and general inflammation. Before operating the ozone generator, consult the appropriate MSDS to understand what first-aid measures may be required. Printed: 14-Jul-10, 7:20 Health and Safety Page 1-2 of 4 Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology 1.3 Additional hazard information for the ozone delivery system 1.3.1 Weight Equipment Manual The cabinet is a heavy object and has a centre of gravity above its mid-point. Take care when lifting and installing the cabinet. 1.3.2 High voltage High voltages are present inside the ozone generator box. There are no user serviceable parts inside the ozone generator box. The electrical supply to the ozone generator box must be isolated before the box is opened. Opening the box will void the warranty. 1.4 Safety features Refer to section 3 of this manual for a description of the safety features on the ozone delivery system. Printed: 14-Jul-10, 7:20 Health and Safety Page 1-3 of 4 Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology Equipment Manual Health and Safety Page 1-4 of 4 Issue 01: July 10 NOTES: Printed: 14-Jul-10, 7:20 ALD Ozone Delivery System 2 Oxford Instruments Plasma Technology Equipment Manual Services 2 Services ................................................................................................................................. 2-1 2.1 Services requirements .........................................................................................................2-2 2.2 Quantitative requirements...................................................................................................2-2 2.2.1 Facilities inlet connections ...........................................................................................2-2 2.2.2 Outlet connections .......................................................................................................2-2 2.2.3 Connections between the ozone delivery system and the ALD system...........................2-2 Printed: 14-Jul-10, 7:06 SERVICES Page 2-1 of 2 Issue 01: July 10 ALD Ozone Delivery System 2.1 Oxford Instruments Plasma Technology Equipment Manual Services requirements The ALD Ozone Delivery System is only intended for use in conjunction with a FlexAL or OpAL system. This document gives generic information and mandatory requirements for all services. 2.2 Quantitative requirements 2.2.1 Facilities inlet connections 2.2.2 2.2.3 a) Compressed air with a pressure >5 bar b) Oxygen with a pressure between 2 bar and 3 bar Outlet connections a) Compressed air exhaust b) Ozone supply to the ALD chamber c) Ozone out to the exhaust (atmospheric pressure side of pump) Connections between the ozone delivery system and the ALD system a) AC electrical power b) 24 VDC control signals c) Compressed air to drive the remote isolation valve (OpAL systems only) Printed: 14-Jul-10, 7:06 SERVICES Page 2-2 of 2 Issue 01: July 10 ALD Ozone Delivery System 3 Oxford Instruments Plasma Technology Equipment Manual Description 3 Description ............................................................................................................................ 3-1 3.1 General description.............................................................................................................3-2 3.1.1 System features............................................................................................................3-2 3.1.2 Use of delivery system..................................................................................................3-2 3.2 Main parts of the ozone delivery system .............................................................................3-2 3.3 Safety features ....................................................................................................................3-3 3.3.1 Safety interlocks...........................................................................................................3-3 3.3.2 Ozone monitoring ........................................................................................................3-3 3.4 Functional description of the ozone delivery system............................................................3-4 3.5 Pneumatic control circuit for the ozone delivery system ......................................................3-5 3.6 Electrical schematic.............................................................................................................3-6 Figure 3-1: Ozone generator cabinet .............................................................................................3-2 Figure 3-2: Functional diagram of the ozone delivery system .........................................................3-4 Figure 3-3: Pneumatic control circuit .............................................................................................3-5 Printed: 14-Jul-10, 7:09 DESCRIPTION Page 3-1 of 6 Issue 01: July 10 ALD Ozone Delivery System 3.1 Oxford Instruments Plasma Technology Equipment Manual General description The unit is a complete ozone delivery system housed inside a steel enclosure. The enclosure is continuously monitored to ensure the concentration of ozone is within safe levels. 3.1.1 3.1.2 System features An ozone generator, which outputs ~10% w/w ozone concentration. An ozone destruction unit Forward pressure regulation An oxygen flow regulator Ozone flow-restriction to regulate ozone delivery to the ALD chamber. A Swagelok rapid ALD dose valve Use of delivery system This ozone delivery system is intended to provide the oxidant for ALD processes. The system is designed to deliver short pulses of ozone (~ few seconds) to the ALD chamber. 3.2 Main parts of the ozone delivery system The ozone generator is housed in a stainless steel cabinet with a sealing door containing a glass viewing window to enable set-up (see Figure 3-1). Figure 3-1: Ozone generator cabinet Printed: 14-Jul-10, 7:09 DESCRIPTION Page 3-2 of 6 Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology Equipment Manual The main features of the ozone generator cabinet are: Ozone generator an Absolute Ozone® Atlas 25 ozone generator Ozone destruction unit uses a catalyst to convert ozone to oxygen before sending it to the exhaust system. Pressure regulator and display adjusts the pressure of oxygen fed into the ozone generator. The pressure in the generator must be greater than the pressure in the exhaust. Check valve prevents backflow from the exhaust into the generator, if the exhaust pressure becomes greater than the generator pressure. Isolation valves closed when the unit is idle or an interlock is tripped. These valves provide protection in the event of a leak or failure. Needle valve regulates the flow into the ALD chamber. ALD dose valve switches the flow going to the ALD chamber. Rotameter regulates the flow of oxygen through the ozone generator. This flow determines the percentage of ozone in oxygen that flows into the process chamber. Ozone monitor model C-30ZX monitor interrupts the interlock chain if it detects an ozone leak in the cabinet. 3.3 Safety features 3.3.1 Safety interlocks Opening the cabinet door causes the following actions: 3.3.2 Electrical power to the ozone generator is interrupted. This stops ozone production. The compressed air supply to the cabinet is disabled. This closes the isolation and ALD dose valves. Ozone monitoring An ozone detector is located inside of the cabinet. The ozone detector is located towards the bottom of the box, since ozone is denser than air. The detector has an LED display to show the measured ozone concentration. Table 3-1 explains the LED display. Table 3-1: Ozone detector LEDs Ozone Concentration 0 to 0.05 ppm 0.05 to 0.1 ppm Greater than 0.1 ppm Printed: 14-Jul-10, 7:09 DESCRIPTION Page 3-3 of 6 LED Colour Green Yellow Red Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology Equipment Manual If the ozone detector measures unsafe concentrations of ozone, it performs the following actions: Electrical power to the ozone generator is interrupted. This stops ozone production. The compressed air supply to the cabinet is disabled. This closes the isolation and ALD dose valves. An alarm is displayed on the PC2000 pages on the FlexAL or OpAL system controller. This stops the recipe that is running on the controller. NOTE: Electrical power to the ozone generator remains off, even if ozone concentrations return to safe levels. 3.4 Functional description of the ozone delivery system Figure 3-2 shows a functional diagram of the ozone delivery system. Figure 3-2: Functional diagram of the ozone delivery system When the ozone delivery system is not in use, the three pneumatic valves are closed. This minimises the risk of oxygen leaking from the system. When the oxygen input valve opens, oxygen enters the ozone generator. Some of the ozone produced by the ozone generator passes through the isolation valve into the ozone destruction system. This converts ozone into oxygen, which then passes into the facility exhaust. When the ALD rapid dose valve is open, ozone also passes through a needle valve into the ALD process chamber. Printed: 14-Jul-10, 7:09 DESCRIPTION Page 3-4 of 6 Issue 01: July 10 ALD Ozone Delivery System 3.5 Oxford Instruments Plasma Technology Equipment Manual Pneumatic control circuit for the ozone delivery system Figure 3-3 shows the pneumatic control circuit. Figure 3-3: Pneumatic control circuit Printed: 14-Jul-10, 7:09 DESCRIPTION Page 3-5 of 6 Issue 01: July 10 ALD Ozone Delivery System 3.6 Oxford Instruments Plasma Technology Equipment Manual Electrical schematic Printed: 14-Jul-10, 7:09 DESCRIPTION Page 3-6 of 6 Issue 01: July 10 ALD Ozone Delivery System 4 Oxford Instruments Plasma Technology Equipment Manual Installation and commissioning 4 Installation and commissioning .............................................................................................. 4-1 4.1 Installation..........................................................................................................................4-2 4.2 Commissioning...................................................................................................................4-3 4.2.1 Initial power-up ...........................................................................................................4-3 4.2.2 Initial set-up procedure ...............................................................................................4-3 Printed: 14-Jul-10, 7:11 INSTALLATION Page 4-1 of 4 Issue 01: July 10 ALD Ozone Delivery System 4.1 Oxford Instruments Plasma Technology Equipment Manual Installation If the Ozone Delivery System is supplied with a FlexAL system, the cabinet is mounted on the FlexAL system frame (see Figure 4-1). Figure 4-1: Mounting the cabinet on a FlexAL system If the Ozone Delivery System is supplied with an OpAL system, the cabinet is mounted on a wall (see Figure 4-2). Figure 4-2: Mounting the cabinet on a wall Refer to section 2 of this manual for a description of the required services. Printed: 14-Jul-10, 7:11 INSTALLATION Page 4-2 of 4 Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology 4.2 Commissioning 4.2.1 Initial power-up Equipment Manual When electrical power is first connected to the cabinet, the ozone monitor unit displays a high alarm reading for between 30 and 60 minutes. This is normal behaviour. Do not attempt to use the ozone delivery system until the high alarm has disappeared. If electrical power to the system is interrupted for less than 5 minutes, the ozone monitor will only require around 5 minutes to warm up. If electrical power is interrupted for more than five minutes, the high alarm reading may persist for between 30 and 60 minutes. 4.2.2 Initial set-up procedure Perform the following steps to adjust the pressure regulator: 1) Open the cabinet door. 2) Switch the “Power” knob on the control panel of the ozone generator to the “Off” position. 3) Rotate the control knob on the pressure regulator fully clockwise. 4) Close the cabinet door, to enable the interlock switch 5) Turn on the ozone generator from the process page on the main system PC2000 application. This opens the ozone isolation valves. The ozone generator will not activate as it is switched off. 6) Open the cabinet door. 7) Turn the control knob on the pressure regulator one quarter-turn counterclockwise 8) Close the cabinet door and note the pressure displayed on the regulator display. 9) Repeat steps 6) to 8) until the regulator displays a value 0.5 bar above atmospheric pressure. Perform the following steps to adjust the rotameter: 1) Note the flow rate on the rotameter inside the cabinet. 2) Open the cabinet door. 3) If the flow rate is greater than 0.1 slpm, rotate the control knob on the rotameter a small amount clockwise. 4) If the flow rate is less than 0.1 slpm, rotate the control knob on the rotameter a small amount counter-clockwise. 5) Close the cabinet door and wait several seconds until the flow rate through the rotameter is stable. 6) Repeat steps 2) to 5) until the flow rate through the rotameter is 0.1 slpm. Printed: 14-Jul-10, 7:11 INSTALLATION Page 4-3 of 4 Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology Equipment Manual Perform the following steps to adjust the needle valve: 1) Open the cabinet door. 2) Rotate the control knob on the needle valve fully clockwise to close it. 3) Close the cabinet door. 4) Access the PC2000 application on the main system controller. 5) Evacuate the ALD chamber and leave the system pumping. 6) Open the ALD dose valve from the PC2000 application. 7) Note the chamber pressure indicated on the PC2000 Pumping page. 8) Open the cabinet door. 9) Rotate the control knob on the needle valve slightly counter-clockwise. 10) Close the cabinet door and wait until the indicated chamber pressure is stable. 11) Repeat steps 8) to 10) until the chamber pressure is 30 mTorr (for a FlexAL system) or 75 mTorr (for an OpAL system). Perform the following steps to prepare the ozone delivery system for use: 1) Access the PC2000 application on the main controller. 2) Stop the ozone generator and close the ALD valve. 3) Open the cabinet door and switch the “Power” knob on the control panel of the ozone generator to the “On” position. 4) Close the cabinet door. 5) The ozone generator is now ready for use. Printed: 14-Jul-10, 7:11 INSTALLATION Page 4-4 of 4 Issue 01: July 10 ALD Ozone Delivery System 5 Oxford Instruments Plasma Technology Equipment Manual Operating Instructions 5 Operating Instructions............................................................................................................ 5-1 5.1 Introduction........................................................................................................................5-2 5.2 Representation on the PC2000 pages..................................................................................5-2 5.3 Using the ozone delivery system in a recipe ........................................................................5-2 5.4 Faults .................................................................................................................................5-3 5.4.1 Fault displayed on the PC2000 page.............................................................................5-3 Figure 5-1: Ozone panel ................................................................................................................5-2 Figure 5-2: Ozone generator is turned on ......................................................................................5-2 Figure 5-3: Fast ALD valve is open .................................................................................................5-3 Figure 5-4: A fault in the ozone delivery system ............................................................................5-3 Printed: 14-Jul-10, 7:13 OPERATING INSTRUCTIONS Page 5-1 of 4 Issue 01: July 10 ALD Ozone Delivery System 5.1 Oxford Instruments Plasma Technology Equipment Manual Introduction The ozone delivery system is controlled from the PC2000 application on the main system controller. 5.2 Representation on the PC2000 pages Figure 5-1 shows the panel used to control the delivery system. Figure 5-1: Ozone panel The panel contains the following controls and indicators: O2 valve Switches the oxygen supply to the ozone generator. Ex valve Switches ozone to the exhaust system. CH valve This fast ALD valve switches ozone to the ALD process chamber. Status panel Displays status messages. Ozone generator ON/OFF field Enter a 1 to turn the generator on. Enter a 0 to turn the generator off. 5.3 Using the ozone delivery system in a recipe The ozone generator must be turned on from the PC2000 controller at least five minutes before delivering ozone in a process step. This time period allows the ozone output of the generator to optimise (see Figure 5-2). If running a recipe, turn the ozone generator on during the first recipe step. Figure 5-2: Ozone generator is turned on Printed: 14-Jul-10, 7:13 OPERATING INSTRUCTIONS Page 5-2 of 4 Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology Equipment Manual Turn the fast ALD valve (CH) on to admit ozone to the process chamber (see Figure 5-3). For most processes, use a one second pulse of ozone. Figure 5-3: Fast ALD valve is open 5.4 Faults 5.4.1 Fault displayed on the PC2000 page Figure 5-4 shows the ozone panel if a fault has occurred. Figure 5-4: A fault in the ozone delivery system A red alert message is generated if the ozone generator is turned on but the generator does not return an “OK” message within 30 seconds. The red alert stops the process that is running. The alert message is “The ozone generator output has failed, please check the generator, power connections and the ozone monitor”. HAZARDOUS GAS IF THE OZONE MONITOR DISPLAYS ELEVATED OZONE CONCENTRATIONS, DO NOT OPEN THE CABINET. WAIT UNTIL A SAFE LEVEL IS INDICATED ON THE OZONE MONITOR BEFORE OPENING THE CABINET. IT CAN TAKE SEVERAL HOURS FOR THE OZONE CONCENTRATION TO DECAY TO SAFE LAVELS. If the ozone monitor displays an elevated ozone concentration, DO NOT attempt to open the cabinet. Wait until the monitor indicates a safe ozone concentration before opening the cabinet. Depending on the levels of ozone within the cabinet, this may take several hours. If the ozone concentration has not decayed to a safe level after several hours, it is possible that the ozone monitor has failed. Perform the following steps to recover the situation: 1) Check that the ozone generator is powered off. 2) Put on appropriate personal protective equipment. 3) Ensure that the area around the cabinet is well ventilated. If necessary, install temporary extraction for the area. 4) Open the cabinet door and fault-find the ozone monitor. Printed: 14-Jul-10, 7:13 OPERATING INSTRUCTIONS Page 5-3 of 4 Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology Equipment Manual OPERATING INSTRUCTIONS Page 5-4 of 4 Issue 01: July 10 NOTES: Printed: 14-Jul-10, 7:13 ALD Ozone Delivery System Oxford Instruments Plasma Technology Equipment Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6 Maintenance and troubleshooting 6 Maintenance and troubleshooting........................................................................................... 6-1 6.1 Maintenance .......................................................................................................................6-2 6.2 Troubleshooting .................................................................................................................6-2 Printed: 14-Jul-10, 7:16 MAINTENANCE Page 6-1 of 2 Issue 01: July 10 ALD Ozone Delivery System Oxford Instruments Plasma Technology Equipment Manual WARNING BEFORE PROCEEDING WITH ANY MAINTENANCE WORK, READ SECTION 1 - HEALTH AND SAFETY. 6.1 Maintenance Perform the following checks every month. 6.2 1) Open the cabinet door. 2) Observe the colour of the granules in the ozone destruction unit. If the crystals have changed colour, contact Oxford Instruments Plasma Technology for advice. The crystals change colour when they are contaminated with moisture. 3) Check that all VCR and Swagelok connections with the cabinet are tight. Troubleshooting SYMPTOM POSSIBLE CAUSE Ozone generator cannot be turned on from the PC2000 page. Ozone generator is turned off at the front panel. The cabinet door is open. Ozone monitor frontpanel switch is off. Ozone monitor is displaying a high reading. Exhaust pressure is too high. Ozone monitor is warming up. Check the ozone generator is turned on. Ozone level inside the cabinet is high. Wait for the ozone level to decay to a safe level. Then leak-check the ozone system. Wait for 60 minutes. If the ozone reading has not fallen, fault-find the ozone monitor. Correct the fault. Ozone generator displays a “FAULT” message on the PC2000 page. System has recently been powered off and the ozone monitor is displaying a high reading. System has been powered on for more than 60 minutes and the ozone monitor is displaying a high reading. Ozone monitor is faulty. Rotameter is showing zero flow. Rotameter flow is incorrect but not zero. Printed: 14-Jul-10, 7:16 Exhaust pressure is too high. Needle valve is open too far. Rotameter is incorrectly adjusted. MAINTENANCE Page 6-2 of 2 SOLUTION Close the cabinet door. Switch the ozone monitor on. Fault-find the ozone monitor (see below). Correct the fault. Wait 60 minutes and try again. Adjust the needle valve. Adjust the rotameter. Issue 01: July 10 ">
Advertisement
Key Features
- Open load configuration
- Versatile chamber
- Precise film control
- Comprehensive manual
- Global customer support
Frequently Answers and Questions
What are the potential hazards associated with the OpAL system?
The OpAL system incorporates potentially dangerous components. Before attempting to install, power up, or operate the system, ensure you have read and understood the enclosed System Manual, especially Section 1 (Health and Safety).
What are the main components of the OpAL system?
The OpAL system consists of various components including the ALD reactor, gas pod, pump system, and control system. Refer to the System Manual for detailed descriptions and specifications.
How do I access customer support for the OpAL system?
Oxford Instruments Plasma Technology offers global Customer Support Facilities. Contact your nearest support facility with details such as System Type, Works Order No., and your contact information. Refer to the Preface section of your System Manual for contact details.