[E10A version] Renesas Starter Kit for SH7211 User's Manual

Add to my manuals
33 Pages

advertisement

[E10A version] Renesas Starter Kit for SH7211 User's Manual | Manualzz

To our customers,

Old Company Name in Catalogs and Other Documents

On April 1

st

, 2010, NEC Electronics Corporation merged with Renesas Technology

Corporation, and Renesas Electronics Corporation took over all the business of both companies. Therefore, although the old company name remains in this document, it is a valid

Renesas

Electronics document. We appreciate your understanding.

Renesas Electronics website: http://www.renesas.com

April 1

st

, 2010

Renesas Electronics Corporation

Issued by: Renesas Electronics Corporation ( http://www.renesas.com

)

Send any inquiries to http://www.renesas.com/inquiry .

Notice

1. All information included in this document is current as of the date this document is issued. Such information, however, is subject to change without any prior notice. Before purchasing or using any Renesas Electronics products listed herein, please confirm the latest product information with a Renesas Electronics sales office. Also, please pay regular and careful attention to additional and different information to be disclosed by Renesas Electronics such as that disclosed through our website.

2. Renesas Electronics does not assume any liability for infringement of patents, copyrights, or other intellectual property rights of third parties by or arising from the use of Renesas Electronics products or technical information described in this document.

No license, express, implied or otherwise, is granted hereby under any patents, copyrights or other intellectual property rights of Renesas Electronics or others.

3. You should not alter, modify, copy, or otherwise misappropriate any Renesas Electronics product, whether in whole or in part.

4. Descriptions of circuits, software and other related information in this document are provided only to illustrate the operation of semiconductor products and application examples. You are fully responsible for the incorporation of these circuits, software, and information in the design of your equipment. Renesas Electronics assumes no responsibility for any losses incurred by you or third parties arising from the use of these circuits, software, or information.

5. When exporting the products or technology described in this document, you should comply with the applicable export control laws and regulations and follow the procedures required by such laws and regulations. You should not use Renesas

Electronics products or the technology described in this document for any purpose relating to military applications or use by the military, including but not limited to the development of weapons of mass destruction. Renesas Electronics products and technology may not be used for or incorporated into any products or systems whose manufacture, use, or sale is prohibited under any applicable domestic or foreign laws or regulations.

6. Renesas Electronics has used reasonable care in preparing the information included in this document, but Renesas Electronics does not warrant that such information is error free. Renesas Electronics assumes no liability whatsoever for any damages incurred by you resulting from errors in or omissions from the information included herein.

7. Renesas Electronics products are classified according to the following three quality grades: “Standard”, “High Quality”, and

“Specific”. The recommended applications for each Renesas Electronics product depends on the product’s quality grade, as indicated below. You must check the quality grade of each Renesas Electronics product before using it in a particular application. You may not use any Renesas Electronics product for any application categorized as “Specific” without the prior written consent of Renesas Electronics. Further, you may not use any Renesas Electronics product for any application for which it is not intended without the prior written consent of Renesas Electronics. Renesas Electronics shall not be in any way liable for any damages or losses incurred by you or third parties arising from the use of any Renesas Electronics product for an application categorized as “Specific” or for which the product is not intended where you have failed to obtain the prior written consent of Renesas Electronics. The quality grade of each Renesas Electronics product is “Standard” unless otherwise expressly specified in a Renesas Electronics data sheets or data books, etc.

“Standard”: Computers; office equipment; communications equipment; test and measurement equipment; audio and visual equipment; home electronic appliances; machine tools; personal electronic equipment; and industrial robots.

“High Quality”: Transportation equipment (automobiles, trains, ships, etc.); traffic control systems; anti-disaster systems; anticrime systems; safety equipment; and medical equipment not specifically designed for life support.

“Specific”: Aircraft; aerospace equipment; submersible repeaters; nuclear reactor control systems; medical equipment or systems for life support (e.g. artificial life support devices or systems), surgical implantations, or healthcare intervention (e.g. excision, etc.), and any other applications or purposes that pose a direct threat to human life.

8. You should use the Renesas Electronics products described in this document within the range specified by Renesas Electronics, especially with respect to the maximum rating, operating supply voltage range, movement power voltage range, heat radiation characteristics, installation and other product characteristics. Renesas Electronics shall have no liability for malfunctions or damages arising out of the use of Renesas Electronics products beyond such specified ranges.

9. Although Renesas Electronics endeavors to improve the quality and reliability of its products, semiconductor products have specific characteristics such as the occurrence of failure at a certain rate and malfunctions under certain use conditions. Further,

Renesas Electronics products are not subject to radiation resistance design. Please be sure to implement safety measures to guard them against the possibility of physical injury, and injury or damage caused by fire in the event of the failure of a

Renesas Electronics product, such as safety design for hardware and software including but not limited to redundancy, fire control and malfunction prevention, appropriate treatment for aging degradation or any other appropriate measures. Because the evaluation of microcomputer software alone is very difficult, please evaluate the safety of the final products or system manufactured by you.

10. Please contact a Renesas Electronics sales office for details as to environmental matters such as the environmental compatibility of each Renesas Electronics product. Please use Renesas Electronics products in compliance with all applicable laws and regulations that regulate the inclusion or use of controlled substances, including without limitation, the EU RoHS

Directive. Renesas Electronics assumes no liability for damages or losses occurring as a result of your noncompliance with applicable laws and regulations.

11. This document may not be reproduced or duplicated, in any form, in whole or in part, without prior written consent of Renesas

Electronics.

12. Please contact a Renesas Electronics sales office if you have any questions regarding the information contained in this document or Renesas Electronics products, or if you have any other inquiries.

(Note 1) “Renesas Electronics” as used in this document means Renesas Electronics Corporation and also includes its majorityowned subsidiaries.

(Note 2) “Renesas Electronics product(s)” means any product developed or manufactured by or for Renesas Electronics.

Renesas Starter Kit for SH7211

User’s Manual

RENESAS SINGLE-CHIP MICROCOMPUTER

SuperHTMRISC engine

Rev.1.00 2008.01

Table of Contents

Chapter 1. Preface .................................................................................................................................................. 1

Chapter 2. Purpose ................................................................................................................................................. 2

Chapter 3. Power Supply ........................................................................................................................................ 3

3.1. Requirements ............................................................................................................................................... 3

3.2. Power – Up Behaviour ................................................................................................................................. 3

Chapter 4. Board Layout ......................................................................................................................................... 4

4.1. Component Layout ....................................................................................................................................... 4

4.2. Board Dimensions ........................................................................................................................................ 5

Chapter 5. Block Diagram ....................................................................................................................................... 6

Chapter 6. User Circuitry......................................................................................................................................... 7

6.1. Switches ....................................................................................................................................................... 7

6.2. LEDs............................................................................................................................................................. 7

6.3. Potentiometer ............................................................................................................................................... 7

6.4. Serial port ..................................................................................................................................................... 7

6.5. LCD Module.................................................................................................................................................. 8

6.6. Option Links.................................................................................................................................................. 9

6.7. Oscillator Sources ...................................................................................................................................... 13

6.8. Reset Circuit ............................................................................................................................................... 13

Chapter 7. Modes.................................................................................................................................................. 14

7.1.1. Boot mode............................................................................................................................................ 14

7.1.2. User Mode ........................................................................................................................................... 14

Chapter 8. Programming Methods........................................................................................................................ 15

Chapter 9. Headers............................................................................................................................................... 16

9.1. Microcontroller Headers ............................................................................................................................. 16

9.2. Application Headers ................................................................................................................................... 20

Chapter 10. Code Development ........................................................................................................................... 24

10.1. Overview................................................................................................................................................... 24

10.2. Compiler Restrictions ...............................................................................................................................24

10.3. Breakpoint Support................................................................................................................................... 24

10.4. Memory Map............................................................................................................................................. 25

Chapter 11. Component Placement ...................................................................................................................... 26

Chapter 12. Additional Information........................................................................................................................ 27

ii

Chapter 1. Preface

Cautions

This document may be, wholly or partially, subject to change without notice.

All rights reserved. No one is permitted to reproduce or duplicate, in any form, a part or this entire document without the written permission of Renesas Technology Europe Limited.

Trademarks

All brand or product names used in this manual are trademarks or registered trademarks of their respective companies or organisations.

Copyright

© Renesas Technology Europe Ltd. 2008. All rights reserved.

© Renesas Technology Corporation. 2008. All rights reserved.

© Renesas Solutions Corporation. 2008. All rights reserved.

Website: http://www.renesas.com/

Glossary

Starter debugger

1

Chapter 2. Purpose

This RSK is an evaluation tool for Renesas microcontrollers.

Features include:

• Renesas Microcontroller Programming.

• User Code Debugging.

• User Circuitry such as switches, LEDs and potentiometer(s).

• Sample Application.

• Sample peripheral device initialisation code.

The CPU board contains all the circuitry required for microcontroller operation.

This manual describes the technical details of the RSK hardware. The Quick Start Guide and Tutorial Manual provide details of the software installation and debugging environment.

2

Chapter 3. Power Supply

3.1. Requirements

This CPU board operates from a 5V power supply.

A diode provides reverse polarity protection only if a current limiting power supply is used.

The kit is supplied with an E10A debug module and a PSU. When the CPU board is connected to another system that system should supply power to the CPU board.

All CPU boards have an optional centre positive supply connector using a 2.0mm barrel power jack which is compatible with the supplied

PSU.

Warning

The CPU board is neither under not over voltage protected. Use a centre positive supply for this board.

3.2. Power – Up Behaviour

When the RSK is purchased the CPU board has the ‘Release’ or stand alone code from the example tutorial code pre-programmed into the

Renesas microcontroller. On powering up the board the user LEDs will start to flash. Pressing any switch will cause the LEDs to flash at a rate controlled by the potentiometer.

3

Chapter 4. Board Layout

4.1. Component Layout

The following diagram shows top layer component layout of the board.

Application Board

Interface

Reset Switch

BUS Interface

LCD Display

JA5

J1

JA1

RS232 Serial

Power

Power LED

E8 Header

Microcontroller

Pin Headers J2 J4

J

A

3

J3

JA6 JA2

Potentiometer

Application Board

Interface

Figure 4-1: Board Layout

User LEDs

E10A Header

Boot LED

User Switches

4

4.2. Board Dimensions

The following diagram gives the board dimensions and connector positions. All through hole connectors are on a common 0.1” grid for easy interfacing.

POT

120.00mm

50.80 mm

43.18 mm

35.56 mm

115.00mm

86.36mm

Short Board = 85 mm

SW

1

27.00mm

SW

2

SW

3

JA2

E10A

JA6

J3 -

Applies to connector with micriocontroller pin1

Corners x4

3mm radius

J4

MCU

J2

E8

J1

Serial D9

SKT

45.00mm

R

E

S

JA1 JA5

Figure 4-2 : Board Dimensions

5

Chapter 5. Block Diagram

Figure 5-1 shows the CPU board components and their connectivity.

Figure -5-2 shows the connections to the RSK.

Figure 5-1: Block Diagram

Figure -5-2 : RSK Connections

6

Chapter 6. User Circuitry

6.1. Switches

There are four switches located on the CPU board. The function of each switch and its connection are shown in Table 6-1.

Switch

RES

SW2*

SW3*

Function

When pressed; the CPU board microcontroller is reset.

SW1/BOOT* Connects to an IRQ input for user controls.

Connects to an IRQ line for user controls.

Connects to an IRQ line for user controls. Same pin functions as ADC trigger input.

Microcontroller

RESn, Pin 22

IRQ0, Pin 92

(Port B, bit 21)

IRQ4, Pin 87

(Port B, bit 18)

IRQ6, Pin 88

(Port B, bit 19)

Table 6-1: Switch Functions

*Refer to schematic for detailed connectivity information.

6.2. LEDs

There are six LEDs on the CPU board. The green ‘POWER’ LED lights when the board is powered. The orange BOOT LED indicates the device is in BOOT mode when lit. The four user LEDs are connected to an IO port and will light when their corresponding port pin is set low.

Table 6-2, below, shows the LED pin references and their corresponding microcontroller port pin connections.

LED Reference (As shown on silkscreen)

LED0

LED1

LED2

LED3

Microcontroller Port Pin function

Port B bit 10

Port B bit 11

Port B bit 12

Port B bit 13

Table 6-2: LED Port

Microcontroller Pin

Number

75

76

79

80

Polarity

Active Low

Active Low

Active Low

Active Low

6.3. Potentiometer

A single turn potentiometer is connected to pin ‘AN0’ of the microcontroller. This may be used to vary the input analog voltage value to this pin between AVCC and Ground.

6.4. Serial port

The microcontroller programming serial port (SCI1) is connected to the E8 connector. SCI2 is connected to the RS232 connector SERIAL.

SCI1 can optionally be connected to the RS232 transceiver by fitting option resistors. The connections to be fitted are listed in Table 6-3

7

Description

TxD1

RxD1

Function

Programming Serial Port

Programming Serial Port

Fit for RS232

R47

R38

Remove for

RS232

R21, R56

R20,R48

Table 6-3: Serial Option Links

The board is designed to accept a straight through RS232 cable.

Fit for E8a

R21

R20

Remove for E8a

R47,R56

R38,R48

6.5. LCD Module

The LCD module supplied with the RSK can be connected to the connector ‘LCD’ for use with the tutorial code. Any module that conforms to the pin connections and has a KS0066u compatible controller can be used. The LCD module uses a 4 bit interface to reduce the pin allocation. No contrast control is provided; this must be set on the display module.

Table 6-4 shows the pin allocation and signal names used on this connector.

The module supplied with the CPU board only supports 5V operation.

Pin

1 Ground

5 R/W (Wired to Write only)

11 DLCD4

13 DLCD6

Circuit Net Name Device

Pin

LCD

Pin Circuit Net Name

- 2 5V

- 4 DLCDRS

- 6 DLCDE

- 8 No

- 10 No

63 12 DLCD5

66 14 DLCD7

Table 6-4: LCD Module Connections

Device

Pin

-

112

111

-

-

65

67

8

Reference

R20

R21

R32

R38

R40

R42

R47

R48

R52

R55

R56

R58

R59

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

Function

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

Serial Port

Configuration

6.6. Option Links

Table 6-5 below describes the function of the option links contained on this CPU board. The default configuration is indicated by BOLD

text.

Option Link Settings

Fitted

Connects programming port

(Rx) to E8 connector.

Connects programming port

(Tx) to E8 connector.

Alternative ( Removed )

Disconnects programming port (Rx) from

E8 connector.

Disconnects programming port (Tx) from

E8 connector.

Connects serial port RXD0 to

RS232 Buffer.

Connects programming port (Rx) to external serial connectors.

Disables RS232 Serial

Transceiver

Routes RS232 serial port Rx to application connector (JA6).

Disconnects serial port RXD0 from

SERIAL D-type connector.

Disconnects programming port (Rx) to external serial connectors.

Enables RS232 Serial

Transceiver

Disconnects RS232 serial port Rx from application connector (JA6).

Connects programming port (Tx) to external connectors.

Connects Alternate serial (CH2) to D connector

Connects serial port TXD0 to

RS232 Buffer.

Routes RS232 serial port Tx to application connector (JA6).

Connects Alternate serial (CH2) to D connector

Connects Alternate serial (CH0) to

D connector

Connects Alternate serial (CH0) to

D connector

Disconnects programming port (Tx) to external serial connectors.

Disconnects Alternate serial from D connector.

Disconnects serial port TXD0 from

SERIAL D-type connector.

Disconnects RS232 serial port Tx from application connector (JA6).

Disconnects Alternate serial from D connector.

Disconnects Alternate serial from D connector.

Disconnects Alternate serial from D connector.

Related To

R38, R42,

R48

R47, R55,

R56

R52

R20, R42,

R48

-

R48, R38

R34, R20,

R22

R20, R38,

R42

R32

R4, R56

R21,R47,

R57

R59

R58

Table 6-5: Serial configuration links

9

Table 6-6 below describes the function of the option links associated with Power configuration. The default configuration is indicated by

BOLD text.

Reference

R4

R11

R22

R27

R28

R44

R45

R49

R53

Function

Option Link Settings

Fitted

Power Source

Board can be powered from

PWR connector.

Alternative ( Removed )

Disconnects the supply from PWR connector.

Related To

R11, R28

Power Source

Board can be powered by E8a

Disconnects the supply from E8 connector.

Microcontroller

Power Supply

Microcontroller

Power Supply

Power

E8A_VCC2 can drive microcontroller core

Regulated 1V5 can drive microcontroller core

E8A_VCC2 disconnected from microcontroller core.

Regulated 1V5 disconnected from microcontroller core.

Disconnects the supply from Con_5V

Microcontroller

Power Supply

Board input power connected to CON_5V

I/O Supply power to

Microcontroller.

Fit Low ohm resistor to measure current.

R4, R8

R27

R22

R4, R11

R53

Disconnect Board_VCC from CON_3V3 R49 Power

Connects Board_VCC to

CON_3V3.

Power Source

Connects regulated 3V3 voltage source to Board_VCC.

Microcontroller

Power Supply

Core Supply power to

Microcontroller.

Disconnects regulated 3V3 voltage source from Board_VCC.

R45

Fit Low ohm resistor to measure current. R44

Table 6-6: Power configuration links

Table 6-7 below describes the function of the option links associated with Analog configuration. The default configuration is indicated by

BOLD text.

Reference

R60

R70

R71

R73

R77

Function

Analog Voltage

Source

Analog Voltage

Source

Analog Voltage

Source

Voltage Reference

Source

Voltage Reference

Source

Option Link Settings

Fitted Alternative ( Removed )

Connects AVCC to Board_5V

Disconnects AVCC from CON_VREF.

Related To

R71

Links analog ground to digital ground.

Isolates analog ground from digital ground.

Connects AVCC to CON_VREF

Disconnects AVCC from CON_VREF.

R60

Connects Board_5V to VREF

Connects CON_VREF to VREF

Disconnects Board_5V from VREF.

Disconnects CON_VREF from VREF

R77

R73

Table 6-7: Analog configuration links

10

Table 6-8 below describes the function of the option links associated with Pin function configuration. The default configuration is indicated

by BOLD text.

R87

R88

R91

R93

R95

R96

R103

R105

R108

R82

R83

R84

R86

R76

R79

R80

R81

R69

R72

R74

R75

R65

R66

R67

R68

Reference

R61

Function

Option Link Settings

Fitted Alternative ( Removed )

Pin function select

Connects PIN 109 to RxD2 on JA6.

Disconnects PIN 109 from RxD2.

R62

R63

R64

Pin function select

Connects PIN 110 to TxD2 on JA6.

Pin function select

Connects PIN 80 to LED3.

Disconnects PIN 110 from TxD2.

Disconnects PIN 80 from LED3.

Pin function select

Connects PIN 115 to M1_Vn on JA2.

Disconnects PIN 115 from M1_Vn.

Pin function select

Pin function select

Pin function select

Pin function select

Pin function select

Pin function select

Pin function select

Pin function select

Connects PIN 79 to LED2.

Connects PIN 76 to LED1.

Connects PIN 75 to LED0.

Connects PIN 82 to CS5n on JA3.

Connects PIN 72 to WE1n on JA3.

Connects PIN 60 to TxD0 on JA2.

Connects PIN 59 to RxD0 on JA2.

Connects PIN 6 to TIOC0B on JA5.

Disconnects PIN 79 from LED2.

Disconnects PIN 76 from LED1.

Disconnects PIN 75 from LED0.

Disconnects PIN 82 from CS5n.

Disconnects PIN 72 from WE1n.

Disconnects PIN 60 from TxD0.

Disconnects PIN 59 from RxD0.

Disconnects PIN 6 from TIOC0B.

Pin function select

Pin function select

Connects PIN 81 to ADTRGn on JA1. Disconnects PIN 81 from ADTRGn.

Connects PIN 97 to AN0 on JA1.

Pin function select Connects PIN 8 to TIOC0D on JA5.

Pin function select Connects PIN 7 to TIOC0C on JA5.

Pin function select

Connects PIN 97 to AD_POT.

Pin function select Connects PIN 117 to TMR0 on JA2.

Pin function select Connects PIN 120 to TMR1 on JA2.

Disconnects PIN 97 from AN0.

Disconnects PIN 8 from TIOC0D.

Disconnects PIN 7 from TIOC0C.

Pin function select Connects PIN 117 to M1_Up on JA2. Disconnects PIN 117 from M1_Up.

Pin function select Connects PIN 120 to M1_Un on JA2. Disconnects PIN 120 from M1_Un.

Disconnects PIN 97 from AD_POT.

Pin function select

Connects PIN 116 to M1_Vp on JA2.

Disconnects PIN 116 from M1_Vp.

Pin function select

Connects PIN 70 to M1_Wp on JA2.

Disconnects PIN 70 from M1_Wp.

Disconnects PIN 117 from TMR0.

Disconnects PIN 120 from TMR1.

Pin function select Connects PIN 115 to TRIGa on JA2.

Disconnects PIN 115 from JA2.

R117

R84

R120

R127

R88, R103

R91, R111

R79

R96, R113

R95

R82, R103

R83, R111

R64

Pin function select Connects PIN 70 to IRQ7 on JA1.

Disconnects PIN 70 from IRQ7.

Pin function select Connects PIN 116 to TRIGb on JA2. Disconnects PIN 116 from TRIGb.

R87

R86, R113

Pin function select Connects PIN 117 to DACK0 on JA6.

Disconnects PIN 117 from DACK0.

R82, R88

Pin function select Connects PIN 80 to M2_Up on .

Disconnects PIN 80 from M2_Up.

R63

Pin function select Connects PIN 79 to M2_Un on JA5.

Disconnects PIN 79 from M2_Un.

R65

R108

R116

R118

R78

R125

R122

R123

R124

Related To

R126

R119

R105

R93

11

R123

R124

R125

R126

R127

R128

R132

Reference Function

Option Link Settings

Fitted Alternative ( Removed ) Related To

R111 Pin function select Connects PIN 120 to DREQ0 on JA6.

Disconnects PIN 120 from DREQ0.

R83, R91

R113 Pin function select Connects PIN 116 to DTEND0 on JA6. Disconnects PIN 116 from DTEND0. R86, R96

R116

R117

Pin function select

Pin function select

Connects PIN 76 to M2_Vp on JA5.

Connects PIN 81 to M2_TRISTn on

JA5.

Disconnects PIN 76 from M2_Vp.

M2_TRISTn.

R66

R118

R119

R120

R122

Pin function select

Pin function select

Pin function select

Pin function select

Connects PIN 75 to M2_Vn on JA5.

Connects PIN 110 to TCLKC on JA5.

Connects PIN 8 to PTTX on JA6.

Connects PIN 60 to WRn on JA3.

Disconnects PIN 75 from M2_Vn.

Disconnects PIN 110 from TCLKC.

Disconnects PIN 8 from PTTX.

Disconnects PIN 60 from WRn.

R67

R62

R80

R72

Pin function select

Connects PIN 59 to RDn on JA3.

Pin function select

Connects PIN 6 to SCK1 on JA6.

Pin function select

Connects PIN 7 to PTRX on JA6.

Pin function select

Connects PIN 71 to WE0n on JA3.

Disconnects PIN 59 from RDn.

Disconnects PIN 6 from SCK1.

Disconnects PIN 7 from PTRX.

Disconnects PIN 71 from WE0n.

Pin function select

Connects PIN 71 to M2_Wn on JA5.

Disconnects PIN 71 from M2_Wn.

R74

R75

Pin function select Connects PIN 72 to M2_Wp on JA5.

Disconnects PIN 72 from M2_Wp.

R69

Pin function select Connects PIN 109 to TCLKD on JA5.

Disconnects PIN 109 from TCLKD.

R61

R81

R132

R128

Table 6-8: Pin function select links

Table 6-9 below describes the function of the option links associated with Clock configuration. The default configuration is indicated by

BOLD text.

Reference

R75

R76

R108

R74

R77

Function

Option Link Settings

Fitted Alternative ( Removed ) Related To

Clock Oscillator Parallel resistor for crystal

Not fitted

-

Clock Oscillator Connects on board clock to MCU External Clock Source can be connected. R74, R77,

R108

Clock Oscillator Connects on board clock to MCU External Clock Source can be connected. R74, R77,

R76

Clock Oscillator Connects external clock to MCU

Disconnects external clock connection to MCU

R77, R76

Clock Oscillator Connects external clock to MCU

Disconnects external clock connection to MCU

R74, R76

Table 6-9: Clock configuration links

12

6.7.Oscillator Sources

A crystal oscillator is fitted on the CPU board and used to supply the main clock input to the Renesas microcontroller.

Table 6-10: Oscillators / Resonators details the oscillators that are fitted and alternative footprints provided on this CPU board:

Component

Crystal (X1) Fitted 10MHz (HC49/4H package)

Table 6-10: Oscillators / Resonators

Warning: When replacing the default oscillator with that of another frequency, the debugging monitor will not function unless the following are corrected:

• FDT programming kernels supplied are rebuilt for the new frequency

6.8.Reset Circuit

The CPU Board includes a simple latch circuit that links the mode selection and reset circuit. This provides an easy method for swapping the device between Boot Mode and User mode. This circuit is not required on customers’ boards as it is intended for providing easy evaluation of the operating modes of the device on the RSK. Please refer to the hardware manual for more information on the requirements of the reset circuit.

The reset circuit operates by latching the state of the boot switch (SW1) on pressing the reset button. This control is subsequently used to modify a port pin state to select which code is executed.

The reset is held in the active state for a fixed period by a pair of resistors and a capacitor. Please check the reset requirements carefully to ensure the reset circuit on the user’s board meets all the reset timing requirements.

13

Chapter 7.Modes

The CPU board can be configured in User mode and Boot mode. User mode may be used to run and debug user code, while Boot mode may only be used to program the Renesas microcontroller with program code via the SCI1 interface. Further details of programming the flash are available in the SH7211 device hardware manual.

The CPU board provides the capability of changing between User and Boot / User Boot modes using a simple latch circuit. This is only to provide a simple mode control on this board when the E10A debugger is not in use.

To manually enter boot mode, press and hold the SW1/BOOT. The mode pins are held in their boot states while reset is pressed and released. Release the boot button. The BOOT LED will be illuminated to indicate that the microcontroller is in boot mode.

More information on the operating modes can be found in the device hardware manual.

7.1.1. Boot mode

The boot mode settings for this CPU board are shown in

Table 7-1

below :

FWE MD1 MD0

LSI State after Reset

End

Table 7-1: Mode pin settings

7.1.2. User Mode

The SH7086 supports various user modes. The default user mode for the RSKSH7211 is mode 6.

FWE MD1 MD0

LSI State after Reset

End

Table 7-2: Mode pin settings

14

Chapter 8. Programming Methods

The board is intended for use with HEW and the supplied E10A debugger only. Please refer to SH7211 Group Hardware Manual for details of the programming methods using on-chip serial port SCI1 and without using E10A debugger.

15

Chapter 9. Headers

9.1. Microcontroller Headers

Table 9-1 to

Table 9-4

show the microcontroller pin headers and their corresponding microcontroller connections. The header pins connect directly to the microcontroller pin unless otherwise stated.

Pin Circuit Net Name

J1

Device Pin Pin Circuit Net Name Device Pin

1 A18 1 19

2 A19 2 20

3 A20 3 21

4 A21 4 22

5 A22 5 23

6 SCK1_TIOC0B 6 24

7 PTRX_TIOC0C 7 25

8 PTTX_TIOC0D 8 26

9 VCCQ 9 27

10 GND 10 28

11 NMI 11 29

12 TDI 12 30

13 VCC 13

14 GND 14

31

32

15 TDO 15 33

16 TCK 16

34

17 TMS 17

35

18 TRSTn 18 36

Table 9-1: J1 microcontroller header

16

J2

Pin Circuit Net Name Device Pin Pin Circuit Net Name

1 D0 37

Device Pin

55

2 D1 38 56

3 D2 39 57

4 D3 40 58

5 GND 41 59

6 VCCQ 42 60

7 D4 43

61

8 D5 44

62

9 D6 45

10 D7

13 D8

46

49

DLCD4

DLCD5

11 VCC 47

12 GND

48

DLCD6

30 DLCD7

31 VCCQ

63

64

65

66

67

14 D9

50

32 GND 68

15 D10

51

33 M1_Wn 69

16 D11

52

34 M1Wp_IRQ7 70

17 D12 53 71

18 VCCQ 54 72

Table 9-2: J2 microcontroller header

17

J3

Pin Circuit Net Name Device Pin Pin Circuit Net Name Device Pin

1 VCC 73

2 GND 74

3 LED0_M2Vn 75

4 LED1_M2Vp 76

5 GND 77

6 VCCQ 78

7 LED2_M2Un 79

8 LED3_M2Up 80

9 ADTRGn_M2TRISTn 81 27 AN2 99

10 CS5n_UD 82

11 CS1n 83

12 CS3n 84

AN5

102

13 VCC 85

AN6

103

14 GND 86

AN7

104

15 IRQ4 87

AN8

105

16 IRQ6 88

AVSS

106

17 GND 89

18 VCCQ 90

Table 9-3: J3 microcontroller header

18

Pin Circuit Net Name Device Pin

J4

Pin Circuit Net Name Device Pin

3 DLCDE 111

6 VCCQ 114

21 A6 129

24

8 M1Vp_DTEND0_TRIGb 116 26

9 M1Up_DACK0_TMR0 117 27

GND 131

VCC 132

A8 133

A9 134

A10 135

A11

136

12 M1Un_DREQ0_TMR1 120 30 A13 138

15 A2 123

16 VCCQ

124

17 GND 125

18 A3 126

33 A14 141

34 A15 142

35 A16 143

36 A17 144

Table 9-4: J4 microcontroller header

19

9.2. Application Headers

1 5V

2 0V(5V)

3 3V3

4 0V(3V3)

5 AVcc

6 AVss

7 AVref

8 ADTRG

9 AD0

10 AD1

11 AD2

12 AD3

13 DAC0

Table 9-5 to Table 9-9 below show the standard application header connections.

* marks pins where a link to the microcontroller pin is via a fitted 0R link

** marks pins where a link to the microcontroller pin is via a fitted 100R link

JA1

Pin Header Name CPU board

Signal Name

CON_5V

GROUND

CON_3V3

GROUND

CON_AVCC

AVSS

CON_VREF

ADTRGn

AN0

AN1

AN2

AN3

DA0

Device Pin Pin Header Name

---

---

---

---

100

96

14 DAC1

15 IO_0

16 IO_1

17 IO_2

94 18 IO_3

93 19 IO_4

105 20 IO_5

81* 21 IO_6

97* 22 IO_7

98

99

23 IRQ3

24 IIC_EX

25 IIC_SDA

26 IIC_SCL

Table 9-5 JA1 Standard Generic Header

CPU board

Signal Name

Device Pin

DA1 95

--- ---

--- ---

--- ---

--- ---

--- ---

--- ---

--- ---

--- ---

IRQ7

---

70*

---

IIC_SDA

IIC_SCL

108**

107**

20

Pin Header Name

1 RESn

2 EXTAL

3 NMIn

4 Vss1

5 WDT_OVF

6 SCIaTX

7 IRQ0

8 SCIaRX

9 IRQ1

10 SCIaCK

11 UD

12 CTSRTS

13 Up

JA2

CPU board

Signal Name

RESn

CON_EXTAL

NMI

GROUND

WDT_OVFn

Device Pin Pin Header Name

22

26*

11

14 Un

15 Vp

16 Vn

14 17 Wp

19 18 Wn

TxD0

IRQ0

RXD0

IRQ1n

60*

92

59*

87

19 TMR0

20 TMR1

21 TRIGa

22 TRIGb

SCK0

UD

---

M1_Up

---

82*

23 IRQ2

24 TRISTn

--- 25 Reserved

117* 26 Reserved

Table 9-6: JA2 Standard Generic Header

CPU board

Signal Name

M1_Un

M1_Vp

M1_Vn

M1_Wp

M1_Wn

TMR0

TMR1

TRIGa

TRIGb

IRQ6

M1_TRISTn

Device Pin

120*

116*

115*

70*

69*

117*

120*

115*

116*

88

91

21

Pin Header Name CPU board

Signal Name

A0

A1

A2

A3

A4

A5

A6

A7

A8

A9

A10

A11

A12

A13

A14

A15

D0

D1

D2

D3

D4

D5

D6

D7

RDn

JA3

Device Pin

121

122

123

129

130

133

Pin Header Name

26 Read/Write

27 Memory

28 Memory

126 29 Data

127 30 Data

128 31 Data

32 Data

33 Data

34 Data

134

135

136

137

138

141

142

37

35 Data

36 Data

37 Address

38 Address

39 Address

40 Address

41 Address

D14

D15

A16

A17

A18

A19

A20

A21

38 43 Address A22

39 44 External Clock ---

40 45 Memory

43 46 Bus

44 47 Data Bus Strobe

45 48 Data Bus Strobe

46 49 Reserved

59* 50 Reserved

CPU board

Signal Name

WRn

CS1n

CS3n

D8

D9

D10

D11

D12

D13

CS5n

---

WE1n

WE0n

Table 9-7: JA3 Standard Generic Header

Device Pin

1

2

3

4

5

---

82*

---

72*

71*

60*

83

84

49

50

51

52

53

56

57

58

143

144

22

Pin Header Name

1 AD4

2 AD5

3 AD6

4 AD7

5 CAN1TX

6 CAN1RX

7 CAN2TX

8 CAN2TX

9 AD8

10 AD9

11 AD10

12 AD11

CPU board

Signal Name

AN4

AN5

AN6

AN7

---

---

---

---

---

---

Device Pin

101

102

103

104

---

---

---

---

---

---

JA5

Pin Header Name

13 TIOC0A

14 TIOC0B

15 TIOC0C

16 M2_TRISTn

17 TCLKC

18 TCLKD

19 M2_Up

20 M2_Un

21 M2_Vp

22 M2_Vn

Pin Header Name

1 DREQ

2 DACK

3 TEND

4 STBYn

5 RS232TX

6 RS232RX

7 SCIbRX

8 SCIbTX

9 SCIcTX

10 SCIbCX

11 SCIcCK

12 SCIcRX

Table 9-8: JA5 Standard Generic Header

CPU board

Signal Name

DREQ0

DACK0

DTEND0

---

RS232TX

RS232RX

RxD2

TxD2

PTTX

---

SCK1

PTRX

JA6

Device Pin Pin Header Name

120 13 Reserved

117 14 Reserved

116 15 Reserved

---

---

8

---

6

7

16 Reserved

17 Reserved

--- 18 Reserved

109* 19 Reserved

110* 20 Reserved

21 Reserved

22 Reserved

23 Reserved

24 Reserved

Table 9-9: JA6 Standard Generic Header

CPU board

Signal Name

TIOC0B

Device Pin

TIOC0C

TIOC0D

6

7

8

M2_TRISTn 81

TCLKC 110

TCLKD

M2_Up

M2_Un

109

80

79

76

M2_Vp

M2_Vn

M2_Wp

M2_Wn

75

72

71

CPU board

Signal Name

Device Pin

23

Chapter 10. Code Development

10.1. Overview

Note: For all code debugging using Renesas software tools, the CPU board must either be connected to a PC serial port via a serial cable or a PC USB port via an E10A. An E10A is supplied with the RSK product.

Due to the continuous process of improvements undertaken by Renesas the user is recommended to review the information provided on the Renesas website at www.renesas.com

to check for the latest updates to the Compiler and Debugger manuals.

10.2. Compiler Restrictions

The compiler supplied with this RSK is fully functional for a period of 60 days from first use. After the first 60 days of use have expired, the compiler will default to a maximum of 256k code and data. To use the compiler with programs greater than this size you will need to purchase the full tools from your distributor.

Warning: The protection software for the compiler will detect changes to the system clock. Changes to the system clock back in time may cause the trial period to expire prematurely.

10.3.Breakpoint Support

This RSK is supplied with E10A emulator which supports breakpoints in ROM. For more details on breakpoints & E10A functions please refer to ‘SuperH Family E10A-USB Emulator User’s Manual’.

24

10.4.Memory Map

The memory map shown in this section visually describes the locations of the each memory areas when operating the RSK in the default mode (Mode 6).

Figure 10-1: Memory Map

25

Chapter 11. Component Placement

Figure 11-1: Component Placement (Top Layer)

26

Chapter 12. Additional Information

For details on how to use High-performance Embedded Workshop (HEW), refer to the HEW manual available on the CD or installed in the

Manual Navigator.

For information about the SH7211 microcontrollers refer to the SH7211 Group Hardware Manual.

For information about the SH7211 assembly language, refer to the SH-2A, SH2A-FPU Software Manual.

For information about the E10A Emulator, please refer to the SH Family E10A-USB Emulator User’s Manual.

Online technical support and information is available at: http://www.renesas.com/renesas_starter_kits

Technical Contact Details

America: [email protected]

Europe: [email protected]

Japan: [email protected]

General information on Renesas Microcontrollers can be found on the Renesas website at: http://www.renesas.com/

27

Renesas Starter Kit for SH7211

User's Manual

Publication Date Rev.1.00 17.Jan.2008

Published by:

Renesas Technology Europe Ltd.

Duke’s Meadow, Millboard Road, Bourne End

Buckinghamshire SL8 5FH, United Kingdom

©2008 Renesas Technology Europe and Renesas Solutions Corp., All Rights Reserved.

Renesas Starter Kit for SH7211

User’s Manual

1753, Shimonumabe, Nakahara-ku, Kawasaki-shi, Kanagawa 211-8668 Japan

REG10J0125-0100

advertisement

Was this manual useful for you? Yes No
Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Related manuals